自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(11)
  • 收藏
  • 关注

原创 reg/wire信号的定义(根据具体项目代码总结)

reg/wire信号的定义。

2023-04-07 20:13:04 101

原创 D触发器应用电路

D触发器的电路应用及Verilog语言实现。

2023-03-30 20:46:43 1852

原创 【自学小梅哥fpga】09 uart_byte_tx

发送一个字节的uart模块,D触发器的延迟,阻塞,bps_clk脉冲信号,阻塞式语法:控制send_en信号。

2022-11-28 23:11:11 321

原创 利用谷歌镜像网站编辑Latex的参考文献与doi链接

latex, scientific reports, 参考文献, .bib, doi

2022-11-20 14:40:18 1830

原创 【自学小梅哥fpga】07 led6道题引出线性序列机

复位清零,仿真调试,使用控制信号EN控制计数器的计数与不计数。

2022-10-28 17:39:31 310

原创 【自学小梅哥fpga】05-B 参数化

定义模块中parameter语法参数化,仿真文件中例化参数。

2022-10-18 21:47:13 426

原创 【自学小梅哥fpga】05-3 流水灯-调用模块

模块调用,例化步骤

2022-10-15 22:00:21 275

原创 【自学小梅哥fpga】05-2 流水灯-位拼接法

位拼接语法。

2022-10-14 22:57:56 591

原创 【自学小梅哥fpga】05-1 流水灯-移位法

n'b计数器模块写法。

2022-10-13 20:59:47 485

原创 【自学小梅哥fpga03】blog二选一多路器&38译码器

fpga开发流程,基本语法。

2022-10-11 09:45:32 225

原创 【自学小梅哥fpga】04 闪烁led

D触发器、计数器的概念及原理。if语句语法。

2022-10-09 22:13:23 301

空空如也

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除