verilog分频设计

任意倍数分频(verilog设计)

code

// Divide clock by 256

module clkdivder	
	(
		input wire clk,
		input wire reset,
		output reg clk_out
	);

reg [7:0] counter;

always @(posedge clk ) begin
	if (reset) begin
		counter <= 8'd0;
		clk_out <= 1'b0;
		
	end
	else if (counter == 8'h7f) begin
		counter <= 8'd0;
		clk_out <= ~clk_out;

	end
	else begin
		counter <= counter + 1;
	end
end
endmodule
任意N倍分频
module clkdivder	
	(
		input wire clk,
		input wire reset,
		output reg clk_out
	);

reg [7:0] counter;   //分频计数器
parameter N = number //number为待分频数
always @(posedge clk  ) begin
	if (reset) begin
		counter <= 8'd0;
		clk_out <= 1'b0;
		
	end
	else if (counter == N/2 -1) begin
		counter <= 8'd0;
		clk_out <= ~clk_out;

	end
	else begin
		counter <= counter + 1;
	end
end
endmodule
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值