ZYNQ AX7021基础开发梳理---(2)PS串口打印(SDK初探)

ZYNQ AX7021基础开发梳理—(2)PS串口打印(SDK初探)

一、概述

此系列文章记录ZYNQ AX7021开发流程梳理,包含vivado、sdk软件使用,PL工程创建及开发流程、PS SDK工程创建及开发流程,涉及三个demo,
从vivado启动、创建工程,到PL独立点灯、PS串口打印(SDK初探)、最后PS控制PL点灯。

二、VIVADO启动

Vivado ubuntu下启动:
source /opt/Xilinx/Vivado/2017.4/settings64.sh
vivado &

在这里插入图片描述
在这里插入图片描述

三、PS Demo

此Demo描述AX7021 开发板ARM独立工程创建、外设使能、编码、编译,SDK基础操作流程,实现ps打印。

3.1 新建vivado工程,ps_prj

在这里插入图片描述
点击create Block Design
在这里插入图片描述
设置Design name (Win下尽量短)
在这里插入图片描述
点击Add IP
在这里插入图片描述
搜索框中写zynq
在这里插入图片描述
双击ZYNQ processing_system7_0设置
在这里插入图片描述
PS-PL配置展开所有选项
在这里插入图片描述
此demo中只用了PS ,故失能AXI接口
在这里插入图片描述
时钟配置不变
在这里插入图片描述
外设I/O配置
在这里插入图片描述
DDR配置 存储类型选择MT41J256M16 RE-125 位宽选择32bit
在这里插入图片描述
点击Run Block Automation vivado自动完成端口导出工作
在这里插入图片描述
点击ok
在这里插入图片描述
在这里插入图片描述

3.2 新建源文件

在这里插入图片描述
在这里插入图片描述
选择Block设计,右键选择Generate Output Product
在这里插入图片描述
点击Generate
在这里插入图片描述
在这里插入图片描述
导出硬件配置信息
在这里插入图片描述
PS独立导出配置信息,include bitstream(仅在PS 与PL联合时使用)
在这里插入图片描述

四、 SDK 开发调试

4.1 File–>launch SDK启动SDK

在这里插入图片描述
在这里插入图片描述
新建APP工程
在这里插入图片描述
设置SDK APP 工程名,其他默认,点击下一步
在这里插入图片描述
选择模板
在这里插入图片描述

4.2 目录及文件说明

System.mss 包含外设说明文档和源码例程
在这里插入图片描述
System.hdf 硬件信息及PS寄存器列表
在这里插入图片描述

4.3 下载运行

在这里插入图片描述

五、总结

根据上述步骤即可实现PS端串口输出,对于ZYNQ SDK开发具有通用参考性,包含外设使能配置,导出HDL文件、启动SDK、创建SDK工程、bsp导入即使用。

  • 16
    点赞
  • 6
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值