自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(14)
  • 资源 (5)
  • 收藏
  • 关注

原创 语音质量检测 梅尔倒谱失真MCD

mcd 语音转换质量检测

2022-12-25 15:30:04 948

原创 sklearn画t-SNE图

sklearn t-SNE

2022-12-24 14:08:05 398

原创 python json文件写入数据

python json文件写入字典数据

2022-12-24 14:04:50 202

原创 rnnoise语料

http://www-mmsp.ece.mcgill.ca/Documents/Data/

2021-09-24 15:49:08 141

原创 vivado2015.4 sdk利用串口输出Hello World(下)

1.打开SDK之后,我们可以发现系统给我们自动生成了一些文件2.点击左上角的file,new 一个application project3.发现左侧多了两个文件夹打开helloworld.c就可以看见里面的程序4.最后选择xilinx toos 里的Program FPGA,下载程序5. 选择Window > Show view > Terminal. 打开以下界面设置串口6.选择debug的小虫子,点击debug as->launch on h

2020-07-23 13:08:17 1406

原创 vivado2015.4 sdk利用串口输出Hello World(上)

1.New Project选择对应的芯片型号Parts 还有板Boards2.选择左侧 Creat Block Design3.这时候会有Block Design的界面,点击左侧Add IP 输入zynq,选择我红色圈住的那个4.因为我们只需要用到UART 口,所以只需要勾上UART,其他可以忽略.Expand I/O peripherals if necessary, and deselect all the I/O peripherals except UART 1. i

2020-07-23 12:51:01 1338

原创 VIVADO小技巧

debug时防止信号在综合时被综合掉 ,在前面加(keep= “TRUE”)如 (keep= “TRUE”) reg [7:0]SDOF;

2020-06-27 16:44:29 593

原创 matlab生成正弦波并量化存入txt

close;clc;clear;N=128;f0=1e6;fs=10e6;n=1:N;y=sin(2*pi*f0.*n/fs);Y=fft(y);y1=y';q=quantizer([14 12]);y2=num2bin(q,y1);fid1=fopen('C:\ME\ME_matlab\y1.txt','wt');for i=1:N fwrite(fid1,y2...

2020-03-28 11:02:54 4266

原创 我的web前端之旅(2020.03.13)

人生最悲惨的一件事,可能就是发现前端的美好,过分地晚。不过我还年轻,时间还长,现在就学起。今天按着https://www.cnblogs.com/jpfss/p/10904819.html和https://segmentfault.com/a/1190000006697219装了一些必要地插件 感觉良好,现在回去看看视频 码码代码练练手。...

2020-03-13 15:36:27 147 2

原创 ERROR: [Vivado 12-4041] Failed to create directory. Please check directory permissions: '.cxl.ip'

vivado版本:2018.3modelsim:10.6dcompile出现下面的错误:ERROR: [Vivado 12-4041] Failed to create directory. Please check directory permissions: '.cxl.ip'其实这里把下面的language 改成all就可以了(因为编译的库里面有verilog也有VHD,所以要选a...

2020-03-01 16:35:39 3495 2

原创 [Vivado 12-1345] Error(s) found during DRC. Bitgen not run.(2018.3版本)

NSTD #1 Critical Warning 19 out of 19 logical ports use I/O standard (IOSTANDARD) value ‘DEFAULT’, instead of a user assigned specific value. This may cause I/O contention or incompatibility with the ...

2019-01-19 21:46:25 16835 13

原创 vivado 2018.3 Launcher time out

每次装这种软件,都希望一下就可以,一装就成功,可惜现实很残酷。今天因为这个东西,折腾了好久,从网上搜来的,说是要可头疼是我了,电脑里压根就找不到vivado-vg。后来重新去网上找了新的lincense,重load就行了。关键是要找到和你的版本相对应的license....

2018-12-18 21:21:12 3307 8

原创 wavelet of picture(MATLAB R2018)

clc;clear;x= imread('E:\matlab_ME\picture_preprocess\delicious.jpg');subplot(2,2,1);imshow(x);% X=rgb2gray(x);% subplot(2,2,2);% imshow(X);X_noise=imnoise(x,'speckle',0.01); figure(1);ims...

2018-12-06 21:43:50 214

转载 小波变换之初步理解

从2018年10月份,开始接触小波变换,从网上查了很多资料,有几个博客讲得很生动形象,学到了很多,今天在这里总结一下。在最一开始,最困扰我的,也是我最想去了解的,就是为什么要用小波变换而不用傅里叶变换。后来才知道,我们在实际应用中分析信号的时候,不仅仅需要知道这个信号的频率分布,还需要知道这个频率所对应的时间。根据这个需求,我们才采取的小波变换,具体原因就是接下来介绍的内容。在介绍小波的时...

2018-11-02 20:33:32 364

芯片资料.zip

atmega8,atmega16,atmega48_88_168,atmega128,atmega1280等等常用或不常用等芯片的部分资料

2019-06-24

摇摇棒配套资料.zip

这是一些关于摇摇棒的程序和软件 发出来和大家分享一下

2019-06-24

数字钟代码.rar

自己写的一个数字钟的代码 quartusⅡ 分模块写 有计时功能 闹钟功能 秒表功能等

2019-06-24

Hafuman.zip

哈弗曼的一些程序 matlab 可以得出概率 每一个字符的编码

2019-06-24

vivado license (亲测可用)

vivado的license,解决vivado安装时 vivado 2018.3 Launcher time out的问题

2019-02-28

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除