(17)UVM sequencer和driver

UVM sequencer和driver


在这里插入图片描述

一、概述

  • driver同sequencer之间的TLM通信采取了get模式,即由driver发起请求,从sequencer一端获得item,再由sequencer将其传递至driver。
  • 作为driver,它往往是一个"永动机",胃口很大的家伙,永远停不下来,只要它可以从sequencer获取item,它就穿着红舞鞋一直跳下去。
  • sequencer和item只应该在合适的时间点产生需要的数据,而至于怎么处理数据,则会由driver来实现。
    在这里插入图片描述

二、端口和方法

为了便于item传输,UVM专门定义了匹配的TLM端口供sequencer和driver使用:

  • uvm_seq_item_pull_port#(type REQ=int,type RSP=REQ)
  • uvm_seq_item_pull_export#(type REQ=int,type RSP=REQ)
  • uvm_seq_item_pull_imp#(type REQ=int,type RSP=REQ,type imp=int)

由于driver是请求发起端,所以在driver一侧例化了下面两种端口

  • uvm_seq_item_pull_port#(REQ,RSP)seq_item_port
  • uvm_analysis_port_port#(RSP)rsp_port

而sequencer一侧则为请求的响应端,在sequencer一侧例化了对应的两种端口:

  • uvm_seq_item_pull_imp#(REQ,RSP,this_type)seq_item_export
  • uvm_analysis_export #(RSP) rsp_export

sequencer中存放有response的fifo,没有request的fio

  • 通常情况下,用户可以通过匹配的第一对TLM端口完成item的完整传送,即driver::seq_item_port和sequencer::item_port_export
  • 这一对端口在连接时同其他端口连接方式一样,即通过driver::seq_item_port.connect(sequencer::seq_item_export)完成。
  • 这一类端口功能主要用来实现driver与sequencer的request获取和response返回。

这一种类型的TLM端口支持如下方法:

  1. task get_next_item(output REQ req_arg):采取blocking的方式等待从sequence获取下一个item。
  2. task try_next_item(output REQ req_arg):采取nonblocking的方式从sequencer获取item,如果立即返回的结果req_arg为null,则表示sequence还没有准备好。
  3. function void item_done(input RSP rsp_arg=null):用来通知sequence当前的sequence item已经消化完毕,可以选择性地传递RSP参数,返回状态值。
  4. task wait_for_sequence():等待当前的sequence直到产生下一个有效的item。
  5. function bit has_do_available():如果当前的sequence准备好而且可以获取下一个有效的item,则返回1,否则返回0.
  6. function void put_response(input RSP rsp_arg):采取nonblocking方式发送response,如果成功返回1,否则返回0.
  7. task get(output REQ req_arg):采用get方式获取item。
  8. task peek(output REQ req_arg):采用peek方式获取item。
  9. task put(input RSP rsp_arg):采取blocking方式将response发送回sequence。

读者在这里需要了解关于REQ和RSP类型的一致性,由于uvm_sequencer与uvm_driver实际上都是参数化的类:

uvm_sequencer#(type REQ=uvm_sequence_item,RSP=REQ)
uvm_driver#(type REQ=uvm_sequence_item,RSP=REQ)

用户在自定义sequencer或者driver的时候,它们可以使用缺省类型type REQ=uvm_sequence_item,以及RSP与REQ类型保持一致。

这有一个潜在的类型转换要求,即driver得到REQ对象在进行下一步处理时,需要进行动态的类型转换,将REQ转换为uvm_sequence_item的子类型才可以从中获取有效的成员数据。

另外一种可行的方式是在自定义sequencer和driver时就标明了其传递的具体item类型,这样就不用再进行额外的类型转换了。

  • 通常情况下RSP类型与REQ类型保持一致,这么做的好处是为了便于统一处理,方便item对象的拷贝、修改等操作。
  • driver消化完当前的request后,可以通过item_done(input RSP rsp_arg=null)方法来告知sequence此次传输已经结束,参数中的RSP可以选择填入,返回相应的状态值。
  • driver也可以通过put_response()或者put()方法来单独返送response。此外发送response还可以通过成对的uvm_driver::rsp_port和sequencer::rsp_export端口来完成,方法为uvm_driver::rsp_port::write(RSP)。

三、事务传输实例

class bus_trans extends uvm_sequence_item;
	rand bit data;
	`uvm_object_utils_begin
		`uvm_field_int(data,UVM_ALL_ON)
	`uvm_object_utils_end
	...
endclass

class flat_seq extends uvm_sequence;
	`uvm_object_utils(flat_se
  • 9
    点赞
  • 9
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

数字IC小白的日常修炼

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值