自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+

夜半少年的博客

我相信,金子在哪都会发光。

  • 博客(45)
  • 资源 (40)
  • 收藏
  • 关注

原创 【光纤通信课程-每周一练(含答案)】第九周—波分复用系统

1、单选(2分)DWDM与CWDM的主要区别可以说DWDM使用的波长间隔 A.很大,常常大于0.8nm B.很小,常常小于0.8nm C.很大,常常大于1.6nm D.很小,常常小于1.6nm 2、单选(2分)波分复用光纤通信系统在发射端,N个光发射机分别发射 A.N个相同波长,经过光波分复用器WDM合到一起,耦合进单根光纤中传输...

2020-04-29 22:10:34 2241

原创 【光纤通信课程-每周一练(含答案)】第六周—光放大器与数字传输技术

1、光纤拉曼放大器对光信号的放大主要就是利用了______ 效应。 A.瑞利散射 B.受激布里渊散射 C.普克尔 D.受激拉曼散射 2、关于光纤拉曼放大器,下列说法不正确的是_____。 A.拉曼放大器可作为宽带放大器,同时对多个不同波长进行放大 B.拉曼放大器可在原有光纤基础上直接扩容,可以减少投资 C.光纤拉曼放大器的自发辐射...

2020-04-29 21:59:07 1408

原创 【光纤通信课程-每周一练(含答案)】第十周—光纤通信系统设计与性能分析

第十周—光纤通信系统设计与性能分析 单元测试题(红色选项即正确答案)1、单选(2分)已知一个565Mbit/s单模光纤传输系统,其系统总体要求如下:(1)光纤通信系统光纤损耗为0.1dB/km,有5个接头,平均每个接头损耗为0.2dB,光源的入纤功率为-3dBm,接收机灵敏度为-56dBm(BER=10-10)。(2)光纤线路上的线路码型是5B6B,光纤的色散系数为2ps/(...

2020-04-29 21:30:57 2090

原创 【Modelsim仿真】Start Simulation窗口中work的模块列表中没显示有tb

问题分析与解决方法:work里面没有tb模块(比如这里的tb_shift_4t1),很大可能是你程序里面模块名写错了,tb代码里的模块名没有与tb文件名tb_shift_4t1一致(PS:例化的模块名也要跟RTL源码的一致)tb模块的错误命名...

2020-04-29 17:01:43 7922 2

原创 【软件工程习题(含参考答案)】总复习

作业范围: 第一章至第十三章(复习)题号次序不一,看题目即可第一题:名词解释极限编程 (Extreme programming)。 答:极限编程,把好的开发实践运用到极致,是一种典型的开发方式 2、状态转换图 答:状态转换图(简称为状态图)通过描绘系统的状态及引起系统状态转换的事件,来表示系统的行为。 2、软件生命周期(Sof...

2020-04-27 15:26:45 37393

原创 【交换机高级特性】MUX-VLAN、端口隔离、端口安全

交换机高级特性 MUX-VLAN 主VLAN Principal VLAN 可以访问其他所有从VLAN PS:一个MUX-VLAN只能有一个主VLAN 子VLAN Sub VLAN group VLAN :互通型VLAN,相同的VLAN之间能能互访,也可以访问主VLAN Separate VLAN:隔离型VLAN,相同的VLAN之间不能互访,但可...

2020-04-24 00:21:24 1535

原创 【交换机的高级特性、RSTP和MSTP】交换理论测试题(已纠完错)

考核内容:一、交换机高级特性(1、MUX-VLAN;2、端口隔离;3、端口安全)二、RSTP(1、RSTP相对于STP的改进;2、RSTP加快收敛的机制)、MSTP单选题1、以下关于链路聚合的描述内容,错误的是( D )A、链路聚合模式可以分为静态LACP模式和手工负载分担模式B、手工负载分担模式加入eth-trunk的接口都进行数据转发C、静态LACP模式可以根据设定...

2020-04-23 23:09:47 2370

原创 【牛客网刷题】通信-华为公司专项练习

恢复出厂默认值。在ZXDSL831激活状态下,将细针插入小孔中,连续按()次,即可恢复认值正确答案: 3 你的答案: 3(正确)802.1Q标签头中的Priority指明桢的优先级,一共有()种优先级。正确答案: 8你的答案: 8(正确)运维人员在客户端进行例行测试及巡检工作结束后应有相应的报告,报告一式三份()正确答案: A B C 你的答案: A...

2020-04-21 23:56:25 800

原创 Microsoft PPT文本框里首行缩进单位只能默认为厘米而不能设置为“字符”等其他单位?

百度知道里很多人问这个问题,我在这里给一下答案:Microsoft PPT里“单位”只能默认是厘米,修改不了!修改不了!修改不了!(重要的事情说三遍),只能是按默认的来(不能改为“字符”等其他单位,当然“尺寸”可以在幻灯片里“隐性”设置)。标题 问题: PPT文本框首行缩进单位为厘米(如图),如何设置为字符呢?之前在网上问过,网友告诉我直接打“2字符”就可以了,但是没用。另外...

2020-04-21 20:33:44 25181

原创 【光纤通信—第三部分 光检测与光接收机】光检测器

总框架

2020-04-20 16:50:41 444

原创 【光纤通信—第三部分 光检测与光接收机】光接收机

总框架

2020-04-20 16:29:42 517

原创 【光纤通信—第二部分 光源与光发送机】光源调制及输入电路

总框架

2020-04-20 16:12:25 955

原创 【光纤通信—第二部分 光源与光发送机】发光二极管与光源

总框架

2020-04-20 16:00:19 495

原创 【光纤通信—第二部分 光源与光发送机】激光的产生与半导体激光器

总框架

2020-04-20 15:58:07 546

原创 【光纤通信—第一部分 了解光纤通信】光纤的传输特性

总框架

2020-04-20 15:51:43 540

原创 【光纤通信—第一部分 了解光纤通信】光学分析方法

总框架

2020-04-20 15:45:11 325

原创 【光纤通信—第一部分 了解光纤通信】光纤、光缆结构和光纤类型

总框架

2020-04-20 15:14:55 690

原创 【软件工程习题(含参考答案)】面向对象章节

第一题: 面向对象设计应该遵循哪些准则? 简述每条准则的内容,并说明遵循这条准则的必要性。 答: 面向对象设计准则: 1、模块化;把程序整体划分成一个个独立命名且可独立访问的完成单个子功能的模块。采用模块化,软件结构清晰,不仅容易设计也容易阅读和理解,有利于软件开发工程的组织管理 2、抽象:抽出事物的本质特性,暂不考虑其细节,使设计从具体实现方法中超脱...

2020-04-20 01:47:04 11576

原创 【光纤通信—第一部分 了解光纤通信】导论

总框架​​​​

2020-04-19 18:00:20 530

原创 【Verilog设计—数字系统实践】自顶向下 + 模块化设计

顶层主模块:Top layer module,将各个子模块的接口例化并联系起来从属子模块:分频器、计数器、移位序列等等子模块(仅实现子功能)

2020-04-16 18:03:16 1769

原创 【Verilog设计—Testbench仿真】基础知识,应知应会

一、Testbench基本结构通常,Testbench没有输入与输出端口,应包括信号或变量定义、产生激励波形语句、例化设计模块以及监控和比较响应输出语句。module test_bench; //信号或变量定义声明 //使用initial或always语句来产生激励波形 //例化设计模块 //监控和比较输出响应endmodule二、常用产生激...

2020-04-16 01:40:12 5793

原创 【Modelsim仿真】怎么显示模拟信号格式的波形(比如正弦波波形图等等)

右键需要观察的变量后,进行如下两个步骤即可:①【Radix】——>【Decimal】; ②【format】——>【Analog (automatic)】;如下图:

2020-04-16 01:16:41 5231

原创 【Modelsim仿真】波形显示的尺度太大看不到,如何快速调整波形尺度至正常?

一般,刚出来的仿真结果,波形的尺度太大,导致我们看起来不对劲,如下图。刚出来的仿真结果PS:注意这并不代表仿真运行失败其实,尺度太大,我们调小就行了,那怎么快速调小呢?很简单,如下图。放大镜工具条缩小波形尺度后,仿真显示的波形正常显示在我们眼前了...

2020-04-16 00:40:50 5762

原创 【Modelsim仿真】怎么将打乱的窗口布局变回原样? 

怎么将打乱的窗口布局变回原样?Layout--->Reset就可以恢复原来的窗口布局了

2020-04-16 00:32:43 3722

原创 【Modelsim仿真】怎么打开(导入)已有的工程?

File--->Open--->打开工程目录(默认在./simulation/modelsim).mpf文件,如下图。

2020-04-16 00:27:13 11456 2

原创 大学生值得珍藏的十个工具网站

1、免费阅览与下载知网论文① 广西壮族自治区图书馆——数字资源检索当然,还有维普、国际会议论文等等,我这里就不摆出来了,留给大家自己去探索吧!② idata-知识检索——仿知网2、迅捷论文在线查重(降重)3、图片类在线工具①在线PS编辑②favicon在线制作——轻松制作或转换ico图标③矢量图标库fontaweso...

2020-04-15 21:06:15 490

原创 【Verilog设计—数字传输系统】ASK调制与FSK调制

1、ASK调制(键控法实现)ASK_modulate.vmodule ASK_modulate(clk, start, x, y); input clk; input start; //开始调制的信号 input x; //基带信号(调制前的信号) output y; //调制后的信号 wire y=0; reg [1:0] q; //分频计数器 reg f; ...

2020-04-15 18:31:46 4879 6

原创 【Modelsim仿真】弹出错误“Cannot create a project while a simulation is ···”

“Cannot create a project while a simulation is in progress. Use the "quit-sim" command to unload the design first”意思是:无法在进行模拟时创建项目。使用“quit-sim”命令首先退出仿真设计。说明我们的仿真进程还在进行着,我们要先退出仿真才能进行其他操作。步骤如下:...

2020-04-15 18:01:37 2131

原创 【Modelsim仿真】testbench编程出现Error: "D:/···" near "timescal":

问题分析:Error: "D:/···" near "timescal",原因在于你新建的.v文件的类型默认地选择了的文件类型【VHDL】,如下图。解决办法:把文件删除重新创建一个【Verilog 】类型的.v文件,再将之前写好的代码粘贴过去,问题就解决了,亲测有效。...

2020-04-15 13:07:55 1784

原创 【嵌入式系统—实时操作系统】uC/OS-II 及其STM32F103移植

☞ STM32F107——uC/OS-II 源码包的下载一、微内核 uC/OS-II 的构成实时微内核 uC/OS-II ,由 Jean J. Labrosse 在 1992 年编写发行,代码开源,如下图所示。uC/OS-II内核结构2、对各部分的进一步解释:第一部分 (1):与硬件无关的高层的操作系统部分大部分是关于任务的创建、信号量的创建、邮箱和队列的创建,以...

2020-04-14 18:40:10 1725 2

原创 【嵌入式系统—实时操作系统】uC/OS II源码的官网下载

要下载源码为:STM32F107—uC/OS-II源码包Micrium官网的下载地址:Micrium_uC-Eval-STM32F107_uCOS-II(怎么找?答:到产品专区入口查找STM32F107的uC/OS-II源码相关条目)Log in to Download,我这里演示尚未在Micrium注册过的情况,先去注册。邮箱必须填好,其他字段随意填,示例如下。注册...

2020-04-14 16:00:13 6254 2

原创 【51单片机——外部中断应用】哪些I/O口可以用外部中断?

51单片机具有5个中断源,其中有两个外部中断INT0、INT1。1、外部中断0:INT0,其对应的引脚为P3^2。#include "reg52.h" typedef unsigned int u16; typedef unsigned char u8;sbit k3=P3^2; //定义按键K3,外部中断0触发I/O口sbit led=P2^0; //定义P20...

2020-04-11 22:17:04 4805

原创 【51单片机—定时器应用】定时器计数、定时器中断函数、while(1)三者之间是如何协调、工作?

51单片机程序,使用定时器时,定时器计数、定时器中断函数、while(1)循环三者之间如何协调好整个流程?【定时器0实现间隔1s的流水灯】示例模板#include <reg52.h>typedef unsigned int u16; //对数据类型进行声明定义typedef unsigned char u8;#define LED P3bit LED_...

2020-04-11 18:47:12 5989 2

原创 【HCIE实验必考题型—双点双向路由引入】实验详解

双点双向引入不同路由协议时,由于路由协议优先级的差别,可能会产生次优路径甚至路由环路。下面的视频将通过较为经典的实验拓扑详细分析环路的产生原因及其解决办法。【HCIE实验必考题型——双点双向路由引入】学习总结1)如果你想看到次优路径,我建议你这样引入:1、两边配置好OSPF、ISIS2、配置单点单向相互引入,在AR2上,【将OSPF注入ISIS】+【再将ISIS引入OSPF】...

2020-04-10 10:10:15 3595 1

原创 一张图全面了解路由策略与策略路由

路由策略与策略路由

2020-04-08 12:10:58 1456 1

原创 路由策略filter-policy的应用——距离矢量路由与链路状态路由

实验拓扑准备工作先按照上图拓扑里的编址信息,将路由器的IP基础信息配置完。一、路由策略filter-policy的应用——距离矢量路由协议(以RIP协议为例)R1的RIP配置与路由发布:rip 1 undo summary //禁止自动汇总 version 2 //选择RIP协议的版本为RIPv2 network 10.0.0.0 //发布...

2020-04-07 23:53:36 1123

原创 【软件工程习题(含参考答案)】软件测试章节

软件工程课后精选习题集(内容包含编码与测试、软件维护、详细设计等)第一题: 对一个包含10000条机器指令的程序进行一个月集成测试后,总共改正了15个错误,此时MTTF=10h;经过两个月测试后,总共改正了25个错误(第二个月改正了10个错误),MTTF=15h。要求:(1)根据上述数据确定MTTF与测试时间之间的函数关系,画出MTTF与测试时间τ的关系曲线。在画这条曲线是做了什么假设...

2020-04-07 01:11:55 19893

原创 珍藏级办公必备软件,点进来的都说很香

1、WPS办公软件国内,WPS,一般在办公领域上,特别是文字处理上,WPS有着自己独特的优势,比如文字工具、比如丰富的在线模板而且内存体积小,另外它还支持多设备终端云同步,更加符合国人的工作办公习惯,我相信很多人还是更倾向于WPS。WPS为个人使用提供了免费版本。简称个人版,个人版与专业版在大体功能上并没有什么区别,但企业如果使用个人版的话,则属于侵权!WPS 2019全版本安装包...

2020-04-06 22:03:22 847

原创 听说这里可以快捷下载Xshell6和Xftp6的安装包,去看看

平时我们到官网想下载Xshell6和Xftp6安装包,而官网通常会在下载前让你填一堆的用户注册信息,很麻烦,简单点不行吗?话不多说,安装包下载传送口呈上↓↓一、Xshell6xshell6便捷下载传送口:Xshell-6.0.0149p.exe主要用途:SSH、SFTP、telnet,rlogin和串行二、Xftp6Xftp6便捷下载传送口:Xftp-6.0.0178p....

2020-04-06 20:44:58 843 3

原创 【光纤通信MOOC—光源与发送机】光源调制及输入电路

一、光源调制光源的调制方式1、直接调制直接调制方式要传送的信息---->电流信号--->并送入LD或LED,从而获得相应的光信号,用于导体光源(LD和LED)。光纤通信系统中传播的光,可以视为光频载波。电信号对光源器件的直接调制方式,使光源发出的光载波功率大小在时间上随驱动电流变化而变化。这种方式是直接/强度调制,简称IM(Intensity M...

2020-04-05 16:30:57 1053

串联型&并联型-电源拓扑

“串联型&并联型-电源拓扑”PPT源文件。 关联文章:串联式 VS 并联式电源连接拓扑。 文章链接如下: https://blog.csdn.net/qq_42605300/article/details/140404172?csdn_share_tail=%7B%22type%22%3A%22blog%22%2C%22rType%22%3A%22article%22%2C%22rId%22%3A%22140404172%22%2C%22source%22%3A%22qq_42605300%22%7D

2024-07-13

【Ghost工具】用于制作DOS启动盘的工具

​   常用的DOS启动盘制作工具有USBoot、Ghost及FlashBoot等,本次DOS启动盘使用Ghost工具制作。 制作前准备 1、装有win10(或win7)系统的PC机,1台; 2、U盘,1个;(建议用户选择兼容性较高的金士顿U盘;此次演示使用8G容量U盘制作,用户可根据控制器硬盘大小选择U盘容量) 2、如下DOS启动盘制作工具,两个软件: 工具软件“Ghost32.exe”。将附件“工具”压缩包里的“Ghost32.rar”解压至Ghost32.exe并拷贝至PC机本地; 镜像文件“DOS710.GHO”。将附件“工具”压缩包里的“DOS710.GHO”拷贝至PC机本地。 详细教程文章→https://blog.csdn.net/qq_42605300/article/details/136595742【[Ghost工具]用于制作DOS启动盘的工具】 ​

2024-03-10

【Altium Designer 】自定义格式 BOM模板

Altium Designer 自建BOM模板。 经验帖子:https://blog.csdn.net/qq_42605300/article/details/124310134 ① 新建表格。 我们用Exce建立一个Excle表格,做一个xx.xlt的2003的模版文件,取文件名为:AltiumDesiger PCB BOM Template.xlt。 ​ 接下来我们输入自己需要保存的参数。 这里我自己需要的内容如下: ② 表格移动 将AltiumDesiger PCB BOM Template.xlt文件存放在这个路径:Altium Designer安装目录\Documents\Templates ③ 在菜单栏中Reports-> Bill of Materials ④ 选择BOM template 找到 Excel Options,Template下拉列表里选择刚刚新建的AltiumDesiger PCB BOM Template.xlt ⑤ 最后勾选“Open Exported”→点击Export...,即可输出并查阅BOM文件 ​

2022-04-21

一文带你真正了解当下的嵌入式系统 PPT

1-1 嵌入式系统概述 1-1-1 基本概念 1-1-2 由来 1-1-3 发展历史 1-1-4 分类及特点 ... 1-1-8 微控制器MCU 1-1-9 应用处理器MAP 1-2 课后总结: 一、嵌入式系统的基本含义 二、嵌入式系统的由来、特点及分类 三、MCU的基本含义,以及MCU出现前后测控系统 ———————————————— 原文链接:https://blog.csdn.net/qq_42605300/article/details/104495204

2022-03-02

【STM32单片机-编程入门】LED闪烁(寄存器操作、标准库函数方式)

实验平台:正点原子STM32F103ZET6核心板(程序已通过验证) (适用STM32F103系列单片机) 经验文章→ https://blog.csdn.net/qq_42605300/article/details/121154360 1、main主函数 2、LED头文件: 3、LED源文件:

2022-03-02

STM32F103-PAJ7620-Project.zip

基于STM32F103单片机,通过PAJ7620手势识别模块实时检测并打印手势类型到串口调试助手。各位同学可基于该例程并根据自己的项目需求,拓展工程源码。更多详情,请浏览博客文章→https://xinso.blog.csdn.net/article/details/120616257

2021-12-12

HCIE-R&amp;S-实验备考宝典-私藏秘籍-考试必过.zip

0、资料的使用、考试环境 1、先练习TS(完整版一个半小时内,测试版本一个小时内完成算合格)LAB TS -拓扑以及答案 2、T3(TS练习完练习这个))LAB T3 -拓扑以及答案 3、诊断TAC(考前15天以上练习)) 4、测试题(类似考试环境,必做)) 5、其他资料-HCIE-R&S-私藏学习笔记

2021-11-07

Everything-1.4.1.1009.x64.zip

Everything,适用Windows 64位系统.x64 ,双击打开→选择“安装Everything服务”,等待完成安装可以使用,使用技巧→

2021-10-23

基于Verilog和FPGA的简易LED数字时钟.7z

本资源压缩包内含整个Quartus项目工程Top_proj。本项目基于Verilog语言和Quartus II平台设计了一个FPGA简易数字钟,能实现小时、分钟和秒的计时及显示,其中,通过控制时、分和秒实现时钟计时的计数模块是本次设计的核心。计数模块的关键在于能够理解三个计时单位之间的联系,即秒计数满60产生一个向分钟的进位,分钟计数满60产生一个向小时的进位,这两个进位信号将小时、分和秒联系起来,是理解本设计的关键点。 为时钟设计一个初值设置控制信号,按下设置信号时能利用开发板上的拨码开关或按键对时间进行校对设置。https://xinso.blog.csdn.net/article/details/106558166

2021-06-04

STM32 定时器实验(定时采集按键)

STM32 定时器实验(定时采集按键)

2021-05-08

Unicode编码转UTF-8编码程序

Unicode转UTF-8

2021-05-08

基于Linux C语言编写的MQTT客户端和WebSocket客户端(物联网数据发布和订阅)

说明:工程分为两个。一个是Linux C语言编写的MQTT客户端,另一个是websocket编写的MQTT客户端,先运行Linux的,再运行websocket就出实验现象了。(发布的主要是温湿度数据、继电器控制状态、GPS定位系统等等)

2021-05-08

STM32 BH1750光照强度模块 函数库+TIMER+USART.7z

STM32 BH1750光照强度模块 函数库,附带TIMER、USART库

2021-04-19

STM32 光照强度传感器 BH1750 GY-30库函数

【STM32库函数】光照强度传感器BH1750 GY-30

2021-04-19

STM32 I/O方向寄存器语句生成器.exe 省去人工计算

STM32 I/O方向寄存器语句生成器,只需要输入GPIO端口、引脚号、I/O口方向,即可一键生成对应正确语句,省去人工计算

2021-04-19

STM32F103RC WiFi通信 ESP8266 机智云远程控制

STM32F103RC ESP8266模块 机智云 远程控制 成功实例,文件夹内有详细说明

2021-04-11

STM32单片机 DHT22温湿度数据采集 实验项目

基于STM32单片机的 DHT22模块 温湿度数据 采集的Keil工程,串口1间隔1s实时打印温湿度数据,亲测有效! 资料文章:https://blog.csdn.net/qq_42605300/article/details/115574731

2021-04-10

delay-sys-usart-代码文件【用于STM32的DHT22温湿度采集】.7z

基于STM32的DHT22温湿度采集,附件程序文件,也适用于DHT11、DHT21模块

2021-04-10

微信小程序与STM32互传案例.7z

微信小程序与STM32互传案例——LED远程控制 (MQTT+ESP8266)实现物联网远程监控。 微信小程序项目软件代码 + STM32单片机MDK工程代码。 附:项目工程均附有使用说明

2021-03-13

0-software-tool.7z

0_software_tool.7z

2021-03-05

W.P.S.10314.12012.2019.exe

W.P.S.10314.12012.2019.exe

2021-03-05

VC6.0 修正版本exe

Microsoft Visual C++ 6.0 精简修正版exe

2021-02-09

CC2540 USBDongle驱动-Cebal驱动.zip

CC2540 USBDongle驱动_Cebal驱动。使用方法:设备管理器→右键“CC2540 USBDongle”选择“更新驱动程序”→“浏览计算机本地的驱动目录”

2021-02-05

Smith Setup V4.1.0.0.7z

Smith Setup V4.1.0.0,最新版本的斯密斯圆图工具,Win32, Win64 完美兼容,安装即用

2021-02-05

JLinkARM-Keil-v5-Segger.7z

,当进行J-Link调试仿真发生JLinkARM.dll出错时,把这个文件内容覆盖Keil_v5\ARM\Segger目录就正常回来了

2021-02-05

NRF52832协议栈固件 s132-nrf52-6.0.0-softdevice.hex

NRF52832协议栈固件,s132_nrf52_6.0.0_softdevice.hex,亲测,可用

2021-02-05

NRF52840协议栈固件-softdevice.hex文件

s140_nrf52_7.2.0_softdevice.hex、s140_nrf52_6.0.0_softdevice.hex等等均可用于NRF52840开发

2021-02-05

比思电子 KGS - 25 周年庆PADS 9.5 中文有声视频电子教程.rar

PADS 9.5 实用电子教程 - PADS 9.5 实用电子教程 - 有声视频 (中文) (中文)

2021-02-05

JLink-Windows-V614b软件

JLink_Windows_V614b,很有用的J-Link调试工具,例如RTT-Viewer、JFlash等等

2021-02-05

SmartRF-Packet-Sniffer-2.18.1-&amp;-2.18.0.zip

一个协议包监听工具,也叫抓包软件,可以通过SmartRF Packet Sniffer,使用cc2540 usb-dongle抓取包,比如Setup_SmartRF_Packet_Sniffer_2.18.1可以抓取BLE广播等等

2021-02-05

串口调试助手 &amp; 网络调试助手 一体.的嵌入式调试工具

串口调试助手与网络调试助手相结合为一体的调试工具,嵌入式必备神器。 1、串口要选择好COM口_不懂就打开'设备管理器'查看‘端口与COM口’ __其他不用改。 2、网络调试助手:根据选择开启TCP客户端连接、TCP服务器创建(服务器IP一定要是路由器分配给你的IP地址,可以到CMD命令行---敲入ipconfig查看)

2020-07-22

基于STM32的仓储环境远程监控系统.zip

硬件环境:STM32F103VET6(野火STM32指南者) 开发环境:Keil5_MDK-ARM 1、 实时监测仓储温湿度、光照强度等环境参数并将数据记录,红外检测仓储室内有无人情况。 2、 采用ESP8266模块以STA模式数据透传,通过TCP通信向贝壳物联平台上传实时数据与接收远程控制消息。 3、 TFT-LCD液晶屏显示环境参数实时数据,客户可通过小程序远程监测仓储环境情况并控制继电器模拟加热、制冷、加湿、抽湿等功能的模拟的状态。 PS:文档有使用说明readme,很详细!!!

2020-07-22

【FPGA系统-Verilog设计实验汇总】10个数字系统电路

一、4位半加法器的Verilog实现 二、138译码器的Verilog实现 三、并行输入串行输出移位寄存器 四、带异步复位和置位、上升沿触发的触发器 五、模10计数器 六、停车位剩余计数显示牌的Verilog实现 七、银行排号机系统电路 八、分频器(2的偶数分频、16分频(占空比为2:14)、5分频) 九、四选一数据选择器——testbench仿真 十、ASK调制、FSK调制(正弦波) ———————————————— 原文链接:https://blog.csdn.net/qq_42605300/article/details/105760677

2020-06-18

软件工程-最全的课后习题汇总(含参考答案与解析).zip

软件工程—课程全部习题汇总(1~13章,含参考答案与解析),答案更整洁! 软件系统分析章节-五道精选习题、形式化说明技术+总体设计+详细设计、软件测试章节(计算题)、面向对象章节(大题)、总复习(60多道题:简答题+大题)。 希望对大家的期末考试复习有帮助!

2020-06-15

eNSP实验配置.zip

eNSP实验配置,包含端到端的基础网络、AR路由器的基本配置和交换机的MAC地址学习三个小实验,fireshark抓包文件也保存在里面了;另外还有一个简单的静态路由配置实验,作为拓展实验。 PS:里面已保存有配置了,如果想自己练一下手,可以备份一份压缩文件,删除掉配置文件夹,然后就可以练习了,非常适合新手入门。 文章教程:https://blog.csdn.net/qq_42605300/article/details/104501212 https://blog.csdn.net/qq_42605300/article/details/104501907 https://blog.csdn.net/qq_42605300/article/details/104560743

2020-04-26

软件工程-软件测试章节课后精选习题集(含详细答案解析).docx

含详细答案解析,内容:软件测试、维护等 题目: 对一个包含10000条机器指令的程序进行一个月集成测试··· 请至少使用两种方法,分别计算下列所示两个流图的环形复杂度 如对一个长度为100000条指令的程序进行集成测试··· 什么是模块测试和集成测试?它们各有什么特点? 设计下列伪码程序的语句覆盖和路径覆盖测试··· 在测试一个长度为24000条指令的程序时··· 设计下列伪码程序的分支覆盖和条件组合覆盖测试··· 软件的可维护性与哪些因素有关?在软件开发过程中··· 说明模块耦合和模块内聚的类型和关系。 原文:https://blog.csdn.net/qq_42605300

2020-04-07

软件工程第二次课后习题.zip

第一题、画出下列伪码程序的流图,计算它的环形复杂度。你觉得这个程序的逻辑有什么问题吗? 第二题、 (1).假设只有SEQUENCE和DO_WHILE两种控制结构,怎么利用它们完成 IF_THEN_ELSE操作? (2). 假设只有SEQUENCE和IF_THEN_ELSE两种控制结构,怎么利用它们完成DO_WHILE操作? 第三题、 (1).为每种类型的模块内聚举一个例子。 (2).为每种类型的模块耦合举一个具体的例子。 第四题、 考虑下述的自动化图书馆流通系统: (1).试用有穷状态机说明上述的图书流通系统。 (2).试用Petri网说明第四题所述图书馆中一本书的循环过程,在规格说明中应该包括操作H、C及R。 第五题、 画出下列伪代码程序的流程图和盒图: 第六题、(1).举例说明形式化说明技术和非形式化方法的优缺点。 (2).在什么情况下应该使用形式化说明技术?使用形式化说明技术应遵守哪些规则?

2020-03-27

软件工程思考分析题答案文档+流程图文件(visio).zip

五道思考题答案集绵 1、某医院打算开发计算机患者监护系统 问题定义: 开发这个系统的可行性分析: 系统分析图 可行性分析逻辑图 2、某航空公司拟开发一个机票预定系统。 问题定义: 开发这个系统的可行性分析: 机票预定系统流程图 3、银行计算机储蓄系统 问题定义: 系统中的数据对象。(用ER图描绘) 4、复印机的行为(状态转换图描绘) 5、某银行拟开发计算机储蓄系统。 问题定义: 开发这个系统的可行性分析 数据流程图

2020-03-16

静态路由配置实验.zip

实验要求 1、配置相关的IP/路由; 2、通过静态路由实现整个网络可以使R4能访问R2; 3、要求访问到R2的主路由为R4-->R3-->R1-->R2(其中主链路为GE0/0/0,另一个等价链路GE0/0/2),备份路由为R4-->R3-->R2,即R1失效了R3就直接访问R2。 原文链接:https://blog.csdn.net/qq_42605300/article/details/104665894

2020-03-05

静态路由配置实验.zip

实验平台环境:eNSP 实验大致拓扑:4个路由器配置静态路由,让PC主机之间可以相互ping通。 实验要求: 1、如上图配置相关的IP/路由; 2、通过静态路由实现整个网络可以访问两台PC; 3、要求从PC1到PC2经过AR2转发,从PC2到PC1经过AR3转发 实验笔记参考博客传送口:https://blog.csdn.net/qq_42605300/article/details/104633083

2020-03-04

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除