自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(15)
  • 收藏
  • 关注

原创 句柄 matlab

函数句柄变量不仅可以用来表示数值(如: 1, 0.2, -5), 用来表示字符串(如: ‘t’, ‘casino’), 也可以用来表示函数哦. 当然, 你也看到了前面我们提到的函数都是一个一个的文件, 直接塞到一个变量里面似乎太大, 不过我们可以’提纲挈领’, 抓住函数的handle(句柄), 就可以.将函数句柄赋值给变量要用到@符号, 语法: 变量名=@函数名, 此处地函数名可以是当前Mat...

2019-05-19 10:50:35 1734

原创 matlab 数值微分与函数句柄 补充最优化与函数句柄

function shuzhiweifenclc%ode23 ode45% t=[0,20];% y0=[1;0];% [t,y]=ode45(@dfun,t,y0)% plot(t,y(:,1),t,y(:,2))% function y=dfun(t,y)% u=2;% y=[y(2);% u.*(1-y(1).^2).*y(2)-y(1)];%Eulertn=2;...

2019-05-19 10:30:04 546

转载 matlab feval

原文:https://blog.csdn.net/WhoisPo/article/details/50465608版权声明:本文为博主原创文章,转载请附上博文链接!

2019-05-19 10:28:38 1655

转载 matlab线性代数(diag)

-------------------转载自网络---------------------diag函数功能:矩阵对角元素的提取和创建对角阵设以下X为方阵,v为向量1、X = diag(v,k)当v是一个含有n个元素的向量时,返回一个n+abs(k)阶方阵X,向量v在矩阵X中的第k个对角线上,k=0表示主对角线,k>0表示在主对角线上方,k<0表示在主对角线下方。例1:v=[...

2019-05-18 15:00:25 2539

原创 matlab易错

%此法正确t=-20:0.01:20;f1=sin(t)./t;plot(t,f1)%此法出错f1=sin(t)./t;t=-20:0.01:20;plot(t,f1)

2019-05-18 14:58:59 297

原创 matlab符号运算基础

sym与syms区别1:如果定义变量x,syms x;当用sym生成多个符号变量时,MATLAB要报错syms函数的功能比sym函数更为强大,它可以一次创建任意多个符号变量.而且,syms函数的使用格式也很简单,使用格式如下:syms var1 var2 var3…如:syms x y z区别2:syms可以直接声明符号函数d®,并且可以对函数的形式进行赋值改变,但是sym却不可以...

2019-05-18 08:43:51 678

转载 sum

[机器学习] 分类 --- Support Vector Machine (SVM基本概念支持向量机(Support Vector Machine, SVM)的基本模型是在特征空间上找到最佳的分离超平面使得训练集上正负样本间隔最大。SVM是用来解决二分类问题的有监督学习算法,在引入了核方法之后SVM也可以用来解决非线性问题。一个普通的SVM是一条直线,用来完美划分linearly sep...

2019-04-20 12:23:50 172

原创 quartus 2操作

写完process-start-start test bench writer打开vt,编写testbenchassignment-settings-compile-…-第一个名字填LED-第二个名字加_vlg_tst-add vt文件波形仿真assignment-pin planner...

2019-04-08 21:40:12 255

转载 计数器VHDL testbench

library IEEE;use IEEE.STD_LOGIC_1164.ALL;entity test isPort (led:out std_logic;switch:in std_logic);end test;architecture Behavioral of test isbeginprocess(switch)beginif switch=’1’ thenl...

2019-03-20 17:43:13 1531

转载 vhdl 一个简单的testbench

library ieee;use ieee.std_logic_1164.all;entity cnt6_tb isend cnt6_tb;architecture rtl of cnt6_tb iscomponent cnt6port(clr,en,clk :in std_logic;q :out std_logic_vector(2 downto 0));end com...

2019-03-20 17:42:26 2307

原创 VHDL二选一选择器代码及其仿真代码

二选一选择器代码library IEEE;use IEEE.STD_LOGIC_1164.ALL;entity test2 isPort ( a,b,sel:in BIT;y:out bit);end test2;architecture Behavioral of test2 issignal m ,n:bit;beginprocess(a,b,sel)m<=a an...

2019-03-20 17:40:55 9274 2

原创 vivado波形图

a:展开全部波形图b:运转到默认光标出c:增加一个光标d:重合到next/previous光标

2019-03-20 17:37:44 6771 2

转载 vivado使用

一、新建工程首先打开vivado2017.4点击create project点击next为新建的工程起名字,路径和名字都不要有中文起好名字后点击next选择RTL project,勾选do not specify sources at this time,表示以后再配置资源文件选好了之后点击next输入你的FPGA板的型号,我的是xc7a100tcsg424然后点击nextfi...

2019-03-20 17:31:45 1703

原创 matlab_homework1

第三步按回车键之后,得到了x,y的值,其中x的每一列值表示矩阵a的一个特征向量,这里有3个特征向量,y的对角元素值代表矩阵的特征值a,

2019-03-13 23:24:35 254

原创 编程语言的大小写、标识符命名规则

2019-03-13 22:30:40 1129 1

空空如也

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除