VHDL二选一选择器代码及其仿真代码

二选一选择器
代码
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity test2 is
Port ( a,b,sel:in BIT;y:out bit);
end test2;

architecture Behavioral of test2 is
signal m ,n:bit;
begin
process(a,b,sel)

m<=a and sel;
n<=b and (not sel);
y<=m or n;

end Behavioral;

仿真代码
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity test_bench is
– Port ( );
end test_bench;

architecture Behavioral of test_bench is
component test2 port(
a,b,sel:in BIT;
y:out bit);
end component;
signal a:bit:=‘0’;
signal b:bit:=‘0’;
signal sel:bit:=‘0’;
signal y:bit:=‘0’;
constant clk_period :time :=20 ns;
begin
dut:test2 port map(
a=>a,b=>b,sel=>sel,y=>y
);
process
begin
sel<=‘1’;
wait for 10ns;
sel<=‘0’;
wait for 10ns;
end process;
a<=‘1’;
b<=‘0’;
end Behavioral;

评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值