自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(5)
  • 资源 (2)
  • 收藏
  • 关注

原创 MATLAB基础学习笔记

MATLAB学习笔记一、 基础知识1、 桌面基础知识:当前文件夹 - 访问您的文件。命令行窗口 - 在命令行中输入命令(由提示符 (>>) 表示)。工作区 - 浏览您创建或从文件导入的数据。在命令行窗口可以创建参数并且可对参数进行计算。按向上 (↑) 和向下箭头键 (↓) 可以重新调用以前的命令。在空白命令行中或在键入命令的前几个字符之后按箭头键。例如,要重新调用命令 b = 2,请键入 b,然后按向上箭头键。2、 矩阵和数组要创建每行包含四个元素的数组,请使用逗号 (,) 或空

2021-10-04 18:29:18 339 2

原创 【FPGA】使用Verilog编程通过ModelSim实现2FSK调制解调仿真④(将7位数组转化为单位脉冲输出)

【FPGA】使用Verilog编程通过ModelSim实现2FSK调制解调仿真④(将7位数组转化为单位脉冲输出)

2020-12-06 21:08:51 1837 1

原创 【FPGA】使用Verilog编程通过ModelSim实现2FSK调制解调仿真③(信号调制仿真)

Verilog编写2FSK第③步信号的调制上一篇编写了2FSK调制信号的程序,本节编写信号调制的子程序2FSK二进制频移键控,FSK 是用不同频率的载波来传递数字消息的。例如:f1=sin2πw f2=sin4πw 传输f1时代表’0’传输f2时是’1’module modulation(clk,DDSin,DA_Data,DA_Data2,DDS_out);//定义模块和端口input clk;//输入的时钟input DDSin;//需要调制的信号input wire [10:

2020-12-03 21:38:11 4313

原创 【FPGA】使用Verilog编程通过ModelSim实现2FSK调制解调仿真②(调用.mif生成2FSK载波波形——DDS)

Verilog编写2FSK第②步调用.mif生成FSK载波波形_DDS

2020-12-01 22:30:52 5390 12

原创 【FPGA】使用Verilog编程通过ModelSim实现2FSK调制解调仿真①( MATLAB生成.mif文件)

【FPGA】使用Verilog编程通过ModelSim实现2FSK调制解调仿真①( MATLAB生成.mif文件)

2020-11-30 23:13:48 4722 5

将7位数组转化为单位脉冲输出

【FPGA】使用Verilog编程通过ModelSim实现2FSK调制解调仿真④(将7位数组转化为单位脉冲输出)

2020-12-06

打地鼠游戏板.rar

一款简易打地鼠游戏机,面向电子设计初学者。操作简单,显示清楚 (内含PCB以及程序,可直接下载打印。 )

2020-11-22

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除