加法减法器的设计及其溢出判断

加法减法器的设计及其溢出判断
基础:
异或运算
a⊕1=!a
a⊕0=a
[Y补]——>求反(符号位也求反)+1——>[-Y补]
[Y补],从由往左,一直遇到第一个1为止遇到的数都不变,其余的数取反(例:0001->1111)
有符号数的串行设计
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
无符号数的溢出设计
在这里插入图片描述
串行设计导致运算速度慢,所以设计并行设计(直接拉到第8min)

  • 0
    点赞
  • 6
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
以下是一个简单的四位向量加法减法器的 VHDL 代码示例: ``` library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.NUMERIC_STD.all; entity vector_addsub is port ( a, b : in std_logic_vector(3 downto 0); sub : in std_logic; result : out std_logic_vector(3 downto 0); overflow : out std_logic ); end vector_addsub; architecture behavioral of vector_addsub is begin process (a, b, sub) variable temp_result : unsigned(3 downto 0); variable temp_a, temp_b : unsigned(3 downto 0); begin temp_a := unsigned(a); temp_b := unsigned(b); if sub = '1' then temp_result := temp_a - temp_b; else temp_result := temp_a + temp_b; end if; result <= std_logic_vector(temp_result); if sub = '1' then if temp_a < temp_b then overflow <= '1'; else overflow <= '0'; end if; else if temp_result > "1111" then overflow <= '1'; else overflow <= '0'; end if; end if; end process; end behavioral; ``` 这个代码实现了一个具有 `a`,`b`,`sub`,`result` 和 `overflow` 输入输出的实体。 `a` 和 `b` 输入是四位的 std_logic_vector,`sub` 是一个 std_logic 输入,用于控制加法或减法操作。 `result` 是一个四位 std_logic_vector 输出,表示运算的结果。 `overflow` 是一个 std_logic 输出,用于表示运算是否导致溢出。 在 process 过程中,我们首先将输入 a 和 b 转换为 unsigned(3 downto 0) 类型,然后使用 if 语句进行加法或减法操作。结果存储在一个名为 `temp_result` 的变量中,并将其转换为 std_logic_vector 并输出到 `result` 输出端口。我们还使用 if 语句检查是否发生了溢出,并将其输出到 `overflow` 输出端口。 请注意,这只是一个基本的示例代码。在实现更复杂的加法减法器时,您可能需要添加更多的逻辑来处理特殊情况。
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值