Vivado与Robei 软件的结合,进行点灯和蜂鸣器实验。

学习目标:

掌握Vivado与Robei 软件的结合,进行点灯和蜂鸣器实验。


学习内容:Robei、Vivado 联合设计项目;

• 使用 Robei 仿真模拟设计;
• 使用提供的 Xilinx 设计约束(XDC)文件来约束引脚位置;
• 合成并实现设计;
• Vivado生成比特流;
• 使用生成的比特流配置 FPGA 和验证功能;
• 使用 Zynq 开发板对项目进行验证;


Robei设计步骤:共分为模型设计,测试文件设计,引脚约束文件设计

1.模型设计
(1)新建一个模型命名为 light,类型为 module,同时具备 1 输入 1 输出。每个引脚的属性和名称参照下图
引脚属性和名称
模块界面图

(2)**添加代码。**点击模型下方的 Code 添加代码。

// 分别对应zynq的底板两个PL,核心板PL的LED灯以及一个TPAD蜂鸣器
assign led[0] = ~swt[0];
assign led[1] = ~swt[1];
assign led[2] = swt[2];
assign led[3] =swt[3];

(3)保存模型到一个指定的文件夹(文件夹路径不能有空格和中文)中,编译并检查有无。

2.测试文件
(1)新建一个 1 输入 1 输出的 light_tb 测试文件,记得将 Module Type 设置为“testbench”,各个引脚配置如下图:
属性界面
模块界面
(2)**添加激励代码。**点击模型下方的 Code 添加代码

// An highlighted block
initial
begin
#5 switches=4'b1111;
#5 switches=4'b1110;
#5 switches=4'b1101;
#5 switches=4'b1010;
#5 switches=4'b1011;
#5 switches=4'b0110;
#5 switches=4'b0101;
#5 switches=4'b0110;
#10 $finish;
end

(3)执行仿真并查看波形。查看输出信息。检查没有错误之后查看波形。点击右侧 Workspace 中的信号,进行添加并查看分析仿真结果
仿真图形
(4)另存为测试文件。将测试文件保存到上面创建的模型所在的文件夹下

3.约束文件
(1)新建一个模块,模块类型选择 constrain。如图所示。
引脚属性
(2)修改对应引脚名称
模型界面
(3)保存并编译运行。将约束文件保存到上面创建的模型所在的文件夹下。
**

注意:三个文件保存时需要在同一文件下面,整体完成后文件夹会自动生成verilog和constrain文件,如下图

**
在这里插入图片描述

Vivado应用步骤:

打开Vivdao,新建工程后按如下步骤添加.v和.xdc文件
(1)添加light.v文件
light.v文件
(2)添加引脚约束文件。
.xdc.文件
(3)选择合适的开发板版型。
选择合适的开发板,此处为领航者(V2)7020核心板
(4)整体工程如下。
工程界面如下

(5)编译工程并生成比特流.bit 文件后,点击 Vivado 左侧“Flow Navigator”窗口最下面的“Open Hardware Manager”按钮如下图所示。
在这里插入图片描述

(6)开发板连接好电源线和下载器后,打开开发板电源开关**,点击“Hardware”窗口中的“Auto Connect”图标**,如下图所示
在这里插入图片描述
(7)我们点击上图中的“Program Device”,并添加.bit文件
在这里插入图片描述
在这里插入图片描述
(8)完成测试,PL灯点亮和蜂鸣器鸣叫

Vivado与Robei 软件的结合,进行点灯和蜂鸣器实验。

  • 0
    点赞
  • 4
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值