基于xc7k325t fbg900的IBRET的测试流程

1.打开vivado软件,选择xc7k325t fbg900芯片,然后在IP Catalog找到IBERT并双击打开。

2.配置选项

第一页

 第二页

这里的refclk selection 选择mgtrefclk1 117   通道0。

 第三页

在开发板中,差分时钟与MGTREFCLK1 117连接,因此参考时钟选择MGTREFCLK1 117,将GTX输入的125MHz的时钟同时作为IBERT内部逻辑的工作时钟,这样就可以不使用额外的外部时钟。

  • 0
    点赞
  • 3
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值