接口interface

接口定义

  • 接口可以用作设计,也可以用作验证,在验证环境中,接口可以使得连接变得简洁而不易出错

  • interface和module的使用性质很像。它可以定义端口,也可以定义双向信号;它可是使用initial和always,也可以定义function和task

  • interface可以在硬件环境和软件环境中传递,例如作为module的端口列表(不用说明方向),也可以作为软件方法的形式参数(声明时要加virtual)

  • 初学者可以将interface看作一个“插排”,而DUT与TB之间的数据驱动关系可使用interface这个插排来完成

  • 在interface的端口列表中只需要定义时钟、复位等公共信号,或者不定义任何端口信号,转而在变量列表中定义各个需要跟DUT和TB连接的logic变量。为了简单易用,我们推荐使用logic来定义变量。

  • interface也可以依靠参数化方式提高复用性。

  • interface在例化时,同module的例化方式一样。对于有对应interface的DUT和TB组件(例如stimulator),在其例化时,也只需要传递匹配的interface变量名即可完成interface的变量传递。(模块里可以例化模块和接口,接口里可以例化接口,不能例化模块)

module top;
    bit clk;
    always #5 clk=~clk;
    arb_if arbif(clk);
    arb_a1 a1(arbif);   //例化时,接口作为参数引入
    test t1(arbif);
endmodule:top

接口的优势

  • 将有关信号封装在同一个接口中,对于设计和验证环境都便于维护和使用。如果你需要新添加信号,只需要在接口中定义这个信号,而在使用这个接口的模块或者验证环境中做出相应修改。
  • 由于接口既可以在硬件世界(module)中使用,又可以在软件世界(class)中使用,interface作为SV中唯一的硬件和软件环境的媒介交互
  • 接口由于可以例化的特性,使得对于多组相同的总线,在例化和使用时变得更加灵活,不仅使得代码变得简洁,也更易于验证环境的管理和维护
  • 每个slave initiator的端口列表中只需要一个slave_if接口即可,不再需要分散的端口arbiter responder也只需要一个arb_if接口
  • 顶层在例化时,只需要罗列出接口的数量,不再需要分散的变量作为TB与DUT之间的连线。stimulator驱动数据只需要借助对应的interface即可,易于操作和管理。




 


 

  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值