使用vivado软件基于verilog语言的FPGA应用1:流水灯

本文介绍如何使用Vivado软件和Verilog语言在EGO1 FPGA板上实现流水灯应用。内容包括源代码和激励文件的详细说明,适合初学者学习FPGA设计。
摘要由CSDN通过智能技术生成

使用vivado软件基于verilog语言的FPGA应用1:流水灯

此FPGA的应用使用的是EGO1板子,相关硬件原理图可到相关网站查询。

源文件

module flowfight(
    input clk,
    input rst,
    output [15:0] led
    );
    reg[23:0] cnt_reg;//计数
    reg[15:0] light_reg;//中间信号给输出端口led
    
    always@(posedge clk)
        begin
            if(!rst) cnt_reg<=0;//阻塞赋值
            else cnt_reg<=cnt_reg+1;
        end
        
    always@(posedge clk)
        begin
            if(
  • 2
    点赞
  • 18
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值