vivado环境下用zedboard实现流水灯功能

本文详细介绍了如何在Vivado开发环境中,利用2018.2版本进行设置,选择Zedboard硬件平台,创建并编辑Verilog源文件来实现流水灯功能。从新建工程、指定器件到编写约束文件,再到执行分析综合流程生成比特流文件,最后通过硬件管理器编程设备,成功实现流水灯效果。
摘要由CSDN通过智能技术生成

开发环境:vivado 2018.2
硬件平台:zedboard
实验目的:流水灯

新建工程
在这里插入图片描述

输入工程名称,同时选择创建子目录
在这里插入图片描述

选择RTL级工程,同时不指定源文件
在这里插入图片描述

选择器件
通过开发板型号进行搜索,选定zedboard
在这里插入图片描述

确定硬件信息,finish

在这里插入图片描述

进入vivado主界面如下
在这里插入图片描述

点击add sources,添加源文件

评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值