STM32通过正点原子库驱动0.96寸的SSD1306芯片的OLED

1、OLED.c代码(忽略注释乱码)

#include "oled.h"
#include "oledfont.h" 

static void delay(unsigned char num)
{
	uint8_t i = 10;
	while(num--)
	{
		while(i--);
	}
}


static void delay_ms(unsigned int ms)//ÑÓ³Ùº¯Êý£¬MS¼¶±ð
{
	unsigned int x,y;
	for(x = ms;x>0;x--)
 {
		for(y = 12000;y>0;y--);
 }
}


void OLED_GPIO_Init(void)
{
	GPIO_InitTypeDef GPIO_InitStructure;	//¶¨ÒåÒ»¸öGPIO_InitTypeDefÀàÐ͵ĽṹÌå
	__HAL_RCC_GPIOA_CLK_ENABLE(); //¿ªÆôA×éIOµÄʱÖÓ
	
	GPIO_InitStructure.Pin=GPIO_PIN_5 | GPIO_PIN_7;	//Ñ¡Ôñ¿ØÖƵÄÒý½Å
	GPIO_InitStructure.Mode=GPIO_MODE_OUTPUT_OD;	//ÉèÖÃΪͨÓÿªÂ©Êä³ö
	GPIO_InitStructure.Speed=GPIO_SPEED_FREQ_HIGH;	//ÉèÖÃÊä³öËÙÂÊΪ50MHz
	HAL_GPIO_Init(GPIOA,&GPIO_InitStructure);	//µ÷Óÿ⺯Êý³õʼ»¯GPIOA
	
	OLED_SCL=1;	//ÉèPC2£¨SCL£©Îª¸ßµçƽ
	OLED_SDA=1;	//ÉèPC3£¨SDA£©Îª¸ßµçƽ
}


static void OLED_IIC_Start(void)
{

	OLED_SCL=1;	//ʱÖÓÏßÖøß
	OLED_SDA=1;	//ÐźÅÏßÖøß
	delay(1);	//ÑÓ³Ù1us
	OLED_SDA=0;	//ÐźÅÏßÖõÍ
	delay(1);	//ÑÓ³Ù1us
	OLED_SCL=0;	//ʱÖÓÏßÖõÍ
	delay(1);	//ÑÓ³Ù1us
}



static void OLED_IIC_Stop(void)
{
	OLED_SDA=0;	//ÐźÅÏßÖõÍ
	delay(1);	//ÑÓ³Ù1us
	OLED_SCL=1;	//ʱÖÓÏßÖøß
	delay(1);	//ÑÓ³Ù1us
	OLED_SDA=1;	//ÐźÅÏßÖøß
	delay(1);	//ÑÓ³Ù1us
}


static unsigned char IIC_Wait_Ack(void)
{
	unsigned char ack;

	OLED_SCL=0;	//ʱÖÓÏßÖõÍ
	delay(1);	//ÑÓ³Ù1us
	OLED_SDA=1;	//ÐźÅÏßÖøß
	delay(1);	//ÑÓ³Ù1us
	OLED_SCL=1;	//ʱÖÓÏßÖøß
	delay(1);	//ÑÓ³Ù1us

	if(HAL_GPIO_ReadPin(GPIOA,GPIO_PIN_5)==GPIO_PIN_SET)	//¶ÁÈ¡SDAµÄµçƽ
		ack = IIC_NO_ACK;	//Èç¹ûΪ1£¬Ôò´Ó»úûÓÐÓ¦´ð
	else
		ack = IIC_ACK;		//Èç¹ûΪ0£¬Ôò´Ó»úÓ¦´ð

	OLED_SCL=0;//ʱÖÓÏßÖõÍ
	delay(1);	//ÑÓ³Ù1us

	return ack;	//·µ»Ø¶ÁÈ¡µ½µÄÓ¦´ðÐÅÏ¢
}


static void Write_IIC_Byte(unsigned char IIC_Byte)
{
	unsigned char i;  //¶¨Òå±äÁ¿
	for(i=0;i<8;i++) //forÑ­»·8´Î
	{
		OLED_SCL=0;	//ʱÖÓÏßÖõͣ¬Îª´«ÊäÊý¾Ý×ö×¼±¸
		delay(1);	//ÑÓ³Ù1us

		if(IIC_Byte & 0x80)	//¶ÁÈ¡×î¸ßλ
		  	OLED_SDA=1;//×î¸ßλΪ1
		else
			OLED_SDA=0;	//×î¸ßλΪ0

		IIC_Byte <<= 1;  //Êý¾Ý×óÒÆ1λ
		delay(1);	//ÑÓ³Ù1us
		OLED_SCL=1;//ʱÖÓÏßÖøߣ¬²úÉúÉÏÉýÑØ£¬°ÑÊý¾Ý·¢ËͳöÈ¥
		delay(1);	//ÑÓ³Ù1us
	}
	OLED_SCL=0;	//ʱÖÓÏßÖõÍ
	delay(1);	//ÑÓ³Ù1us

	while(IIC_Wait_Ack());	//´Ó»úÓ¦´ð
}



static void Write_IIC_Command(unsigned char IIC_Command)
{
   OLED_IIC_Start();
   Write_IIC_Byte(0x78);//дÈë´Ó»úµØÖ·£¬SD0 = 0
   Write_IIC_Byte(0x00);//дÈëÃüÁî
   Write_IIC_Byte(IIC_Command);//Êý¾Ý
   OLED_IIC_Stop();  //·¢ËÍÍ£Ö¹ÐźÅ
}


static void Write_IIC_Data(unsigned char IIC_Data)
{
   OLED_IIC_Start();
   Write_IIC_Byte(0x78);	//дÈë´Ó»úµØÖ·£¬SD0 = 0
   Write_IIC_Byte(0x40);	//дÈëÊý¾Ý
   Write_IIC_Byte(IIC_Data);//Êý¾Ý
   OLED_IIC_Stop();		//·¢ËÍÍ£Ö¹ÐźÅ
}

void OLED_WR_Byte(unsigned char dat,unsigned char cmd)
{
	if(cmd) 
	  {
       Write_IIC_Data(dat); //дÈëÊý¾Ý
	  }
	else {
       Write_IIC_Command(dat); //дÈëÃüÁî
	}
}


void OLED_Set_Pos(unsigned char x, unsigned char y) 
{ 
	OLED_WR_Byte(0xb0+y,OLED_CMD);//дÈëÒ³µØÖ·
	OLED_WR_Byte((x&0x0f),OLED_CMD);  //дÈëÁеĵØÖ·  µÍ°ë×Ö½Ú
	OLED_WR_Byte(((x&0xf0)>>4)|0x10,OLED_CMD);//дÈëÁеĵØÖ· ¸ß°ë×Ö½Ú
}   	     	  


void OLED_Display_On(void)
{
	OLED_WR_Byte(0X8D,OLED_CMD);  //ÉèÖÃOLEDµçºÉ±Ã
	OLED_WR_Byte(0X14,OLED_CMD);  //ʹÄÜ£¬¿ª
	OLED_WR_Byte(0XAF,OLED_CMD);  //¿ªÏÔʾ
}


void OLED_Display_Off(void)
{
	OLED_WR_Byte(0XAE,OLED_CMD);  //¹ØÏÔʾ
	OLED_WR_Byte(0X8D,OLED_CMD);  //ÉèÖÃOLEDµçºÉ±Ã
	OLED_WR_Byte(0X10,OLED_CMD);  //ʧÄÜ£¬¹Ø
}		   			 


void OLED_Clear(void)  
{  
	unsigned char i,n;		    //¶¨Òå±äÁ¿
	for(i=0;i<8;i++)  
	{  
		OLED_WR_Byte (0xb0+i,OLED_CMD);    //´Ó0~7Ò³ÒÀ´ÎдÈë
		OLED_WR_Byte (0x00,OLED_CMD);      //Áе͵ØÖ·
		OLED_WR_Byte (0x10,OLED_CMD);      //ÁиߵØÖ·  
		for(n=0;n<128;n++)OLED_WR_Byte(0,OLED_DATA); //дÈë 0 ÇåÆÁ
	}
}


void OLED_ShowChar(unsigned char x,unsigned char y,unsigned char chr)
{      	
	unsigned char c=0,i=0;	
		c=chr-' '; //»ñÈ¡×Ö·ûµÄÆ«ÒÆÁ¿	
		if(x>Max_Column-1){x=0;y=y+2;} //Èç¹ûÁÐÊý³¬³öÁË·¶Î§£¬¾Í´ÓÏÂ2Ò³µÄµÚ0ÁпªÊ¼

		if(SIZE ==16) //×Ö·û´óСÈç¹ûΪ 16 = 8*16
			{
				OLED_Set_Pos(x,y);	//´Óx y ¿ªÊ¼»­µã
				for(i=0;i<8;i++)  //Ñ­»·8´Î Õ¼8ÁÐ
				OLED_WR_Byte(F8X16[c*16+i],OLED_DATA); /
				OLED_Set_Pos(x,y+1); //Ò³Êý¼Ó1
				for(i=0;i<8;i++)  //Ñ­»·8´Î
				OLED_WR_Byte(F8X16[c*16+i+8],OLED_DATA); //°ÑµÚ¶þÒ³µÄÁÐÊý»­Íê
			}
		else 	//×Ö·û´óСΪ 6 = 6*8
			{	
				OLED_Set_Pos(x,y+1); //Ò»Ò³¾Í¿ÉÒÔ»­Íê
				for(i=0;i<6;i++) //Ñ­»·6´Î £¬Õ¼6ÁÐ
				OLED_WR_Byte(F6x8[c][i],OLED_DATA); //°Ñ×Ö·û»­Íê
			}
}



unsigned int oled_pow(unsigned char m,unsigned char n)
{
	unsigned int result=1;	 
	while(n--)result*=m;    
	return result;
}				  


		  
void OLED_ShowNum(unsigned char x,unsigned char y,unsigned int num,unsigned char len,unsigned char size)
{         	
	unsigned char t,temp;  //¶¨Òå±äÁ¿
	unsigned char enshow=0;		//¶¨Òå±äÁ¿

	for(t=0;t<len;t++)
	{
		temp=(num/oled_pow(10,len-t-1))%10;//È¡³öÊäÈëÊýµÄÿ¸ö룬Óɸߵ½µÍ
		if(enshow==0&&t<(len-1)) /
		{
			if(temp==0) //Èç¹û¸ÃÊýΪ0 
			{
				OLED_ShowChar(x+(size/2)*t,y,' ');
				continue; 
			}else enshow=1; 
		}
	 	OLED_ShowChar(x+(size/2)*t,y,temp+'0'); /
	}
} 


void OLED_ShowString(unsigned char x,unsigned char y,unsigned char *chr)
{
	unsigned char j=0; //¶¨Òå±äÁ¿

	while (chr[j]!='\0') //Èç¹û²»ÊÇ×îºóÒ»¸ö×Ö·û
	{		
		OLED_ShowChar(x,y,chr[j]); //ÏÔʾ×Ö·û
		x+=8; //ÁÐÊý¼Ó8 £¬Ò»¸ö×Ö·ûµÄÁÐÊýÕ¼8
		if(x>=128){x=0;y+=2;} //Èç¹ûx´óÓÚµÈÓÚ128£¬Çл»Ò³£¬´Ó¸ÃÒ³µÄµÚÒ»ÁÐÏÔʾ
		j++; //ÏÂÒ»¸ö×Ö·û
	}
}


void OLED_ShowCHinese(unsigned char x,unsigned char y,unsigned char no)
{      			    
	unsigned char t,adder=0; //¶¨Òå±äÁ¿

	OLED_Set_Pos(x,y);	//´Ó x y ¿ªÊ¼»­µã£¬ÏÈ»­µÚÒ»Ò³
    for(t=0;t<16;t++) //Ñ­»·16´Î£¬»­µÚÒ»Ò³µÄ16ÁÐ
		{
			OLED_WR_Byte(Hzk[2*no][t],OLED_DATA);//»­noÔÚÊý×éλÖõĵÚÒ»Ò³16Áеĵã
			adder+=1; //Êý×éµØÖ·¼Ó1
     	}	
		OLED_Set_Pos(x,y+1); //»­µÚ¶þÒ³
    for(t=0;t<16;t++)//Ñ­»·16´Î£¬»­µÚ¶þÒ³µÄ16ÁÐ
		{	
			OLED_WR_Byte(Hzk[2*no+1][t],OLED_DATA);//»­noÔÚÊý×éλÖõĵڶþÒ³16Áеĵã
			adder+=1;//Êý×éµØÖ·¼Ó1
        }					
}

void OLED_DrawBMP(unsigned char x0, unsigned char y0,unsigned char x1, unsigned char y1,unsigned char BMP[])
{ 	
 	unsigned int j=0; //¶¨Òå±äÁ¿
 	unsigned char x,y; //¶¨Òå±äÁ¿
  
 	if(y1%8==0) y=y1/8;   //ÅжÏÖÕÖ¹Ò³ÊÇ·ñΪ8µÄÕûÊý±¶
 	 else y=y1/8+1;

		for(y=y0;y<y1;y++) //´ÓÆðʼҳ¿ªÊ¼£¬»­µ½ÖÕÖ¹Ò³
		{
			OLED_Set_Pos(x0,y); //ÔÚÒ³µÄÆðʼÁпªÊ¼»­
   			for(x=x0;x<x1;x++) //»­x1 - x0 ÁÐ
	    		{
	    			OLED_WR_Byte(BMP[j++],OLED_DATA);	//»­Í¼Æ¬µÄµã    	
	    		}
		}
} 

				    
void OLED_Init(void)
{
	OLED_GPIO_Init();	//GPIO¿Ú³õʼ»¯
 
	delay_ms(200);	

	OLED_WR_Byte(0xAE,OLED_CMD);	//¹Ø±ÕÏÔʾ

	OLED_WR_Byte(0x00,OLED_CMD);	//ÉèÖõÍÁеØÖ·
	OLED_WR_Byte(0x10,OLED_CMD);	//ÉèÖøßÁеØÖ·
	OLED_WR_Byte(0x40,OLED_CMD);	//ÉèÖÃÆðʼÐеØÖ·
	OLED_WR_Byte(0xB0,OLED_CMD);	//ÉèÖÃÒ³µØÖ·

	OLED_WR_Byte(0x81,OLED_CMD); 	// ¶Ô±È¶ÈÉèÖ㬿ÉÉèÖÃÁÁ¶È
	OLED_WR_Byte(0xFF,OLED_CMD);	//  265  

	OLED_WR_Byte(0xA1,OLED_CMD);	/
	OLED_WR_Byte(0xA6,OLED_CMD);	//Õý³£ÏÔʾ£»0xa7ÄæÏÔʾ

	OLED_WR_Byte(0xA8,OLED_CMD);	//ÉèÖÃÇý¶¯Â·Êý£¨16~64£©
	OLED_WR_Byte(0x3F,OLED_CMD);	//64duty
	
	OLED_WR_Byte(0xC8,OLED_CMD);	//ÖØÓ³Éäģʽ£¬COM[N-1]~COM0ɨÃè

	OLED_WR_Byte(0xD3,OLED_CMD);	//ÉèÖÃÏÔʾƫÒÆ
	OLED_WR_Byte(0x00,OLED_CMD);	//ÎÞÆ«ÒÆ
	
	OLED_WR_Byte(0xD5,OLED_CMD);	//ÉèÖÃÕðµ´Æ÷·ÖƵ
	OLED_WR_Byte(0x80,OLED_CMD);	//ʹÓÃĬÈÏÖµ
	
	OLED_WR_Byte(0xD9,OLED_CMD);	//ÉèÖÃ Pre-Charge Period
	OLED_WR_Byte(0xF1,OLED_CMD);	//ʹÓùٷ½ÍƼöÖµ
	
	OLED_WR_Byte(0xDA,OLED_CMD);	//ÉèÖÃ com pin configuartion
	OLED_WR_Byte(0x12,OLED_CMD);	//ʹÓÃĬÈÏÖµ
	
	OLED_WR_Byte(0xDB,OLED_CMD);	//ÉèÖà Vcomh£¬¿Éµ÷½ÚÁÁ¶È£¨Ä¬ÈÏ£©
	OLED_WR_Byte(0x40,OLED_CMD);	ʹÓùٷ½ÍƼöÖµ
	
	OLED_WR_Byte(0x8D,OLED_CMD);	//ÉèÖÃOLEDµçºÉ±Ã
	OLED_WR_Byte(0x14,OLED_CMD);	//¿ªÏÔʾ
	
	OLED_WR_Byte(0xAF,OLED_CMD);	//¿ªÆôOLEDÃæ°åÏÔʾ
	OLED_Clear();        //ÇåÆÁ
	OLED_Set_Pos(0,0); 	 //ÉèÖÃÊý¾ÝдÈëµÄÆðʼÐС¢ÁÐ
}  


void OLED_Scroll(void)
{
	OLED_WR_Byte(0x2E,OLED_CMD);	//¹Ø±Õ¹ö¶¯
	OLED_WR_Byte(0x27,OLED_CMD);	//ˮƽÏò×ó¹ö¶¯
	OLED_WR_Byte(0x00,OLED_CMD);	//ÐéÄâ×Ö½Ú
	OLED_WR_Byte(0x00,OLED_CMD);	//Æðʼҳ 0
	OLED_WR_Byte(0x00,OLED_CMD);	//¹ö¶¯Ê±¼ä¼ä¸ô
	OLED_WR_Byte(0x01,OLED_CMD);	//ÖÕÖ¹Ò³ 1
	OLED_WR_Byte(0x00,OLED_CMD);	//ÐéÄâ×Ö½Ú
	OLED_WR_Byte(0xFF,OLED_CMD);	//ÐéÄâ×Ö½Ú
	OLED_WR_Byte(0x2F,OLED_CMD);	//¿ªÆô¹ö¶¯
}

2、OLED.h代码(忽略注释乱码)

#ifndef __OLED__H
#define __OLED__H			  	 

#include "sys.h"

#define OLED_SCL  PAout(7) //LED0
#define OLED_SDA  PAout(5) //LED0


/*definition--------------------------------------------*/
#define OLED_CMD  0	//дÃüÁî
#define OLED_DATA 1	//дÊý¾Ý

#define SIZE 		16		//ÏÔʾ×Ö·ûµÄ´óС
#define Max_Column	128		//×î´óÁÐÊý
#define Max_Row		64		//×î´óÐÐÊý
#define X_WIDTH 	128		//XÖáµÄ¿í¶È
#define Y_WIDTH 	64	    //YÖáµÄ¿í¶È					  				   
#define	IIC_ACK		0		//Ó¦´ð
#define	IIC_NO_ACK	1		//²»Ó¦´ð


/*-----------------ÉùÃ÷º¯Êý-----------------------------*/
void OLED_WR_Byte(unsigned char dat,unsigned char cmd);	  //OLEDд×Ö½Úº¯Êý
void OLED_Display_On(void);	//¿ªÏÔʾº¯Êý
void OLED_Display_Off(void);//¹ØÏÔʾº¯Êý
void OLED_Init(void);	//OLED³õʼ»¯º¯Êý
void OLED_Clear(void);  //ÇåÆÁº¯Êý
void OLED_ShowChar(unsigned char x,unsigned char y,unsigned char chr); //ÏÔʾ×Ö·ûº¯Êý
void OLED_ShowNum(unsigned char x,unsigned char y,unsigned int num,unsigned char len,unsigned char size2); //ÔÚÖ¸¶¨µÄλÖã¬ÏÔʾһ¸öÖ¸¶¨ÊýµÄ³¤¶È´óСº¯Êý
void OLED_ShowString(unsigned char x,unsigned char y, unsigned char *p);	 //ÔÚÖ¸¶¨Î»ÖÿªÊ¼ÏÔʾ×Ö·û´®º¯Êý
void OLED_Set_Pos(unsigned char x, unsigned char y);		//»­µãº¯Êý
void OLED_ShowCHinese(unsigned char x,unsigned char y,unsigned char no); //ÉùÃ÷ÔÚÖ¸¶¨Î»ÖÃÏÔʾºº×Öº¯Êý
void OLED_DrawBMP(unsigned char x0, unsigned char y0,unsigned char x1, unsigned char y1,unsigned char BMP[]); //ÏÔʾͼƬº¯Êý
void OLED_Scroll(void);	//¹ö¶¯º¯Êý

#endif  
	 



3、字体库oledfont.h代码

#ifndef __OLEDFONT_H
#define __OLEDFONT_H 	   
//³£ÓÃASCII±í
//Æ«ÒÆÁ¿32
//ASCII×Ö·û¼¯
//Æ«ÒÆÁ¿32
//´óС:12*6
/************************************6*8µÄµãÕó************************************/
const unsigned char  F6x8[][6] =		
{
0x00, 0x00, 0x00, 0x00, 0x00, 0x00,// sp
0x00, 0x00, 0x00, 0x2f, 0x00, 0x00,// !
0x00, 0x00, 0x07, 0x00, 0x07, 0x00,// "
0x00, 0x14, 0x7f, 0x14, 0x7f, 0x14,// #
0x00, 0x24, 0x2a, 0x7f, 0x2a, 0x12,// $
0x00, 0x62, 0x64, 0x08, 0x13, 0x23,// %
0x00, 0x36, 0x49, 0x55, 0x22, 0x50,// &
0x00, 0x00, 0x05, 0x03, 0x00, 0x00,// '
0x00, 0x00, 0x1c, 0x22, 0x41, 0x00,// (
0x00, 0x00, 0x41, 0x22, 0x1c, 0x00,// )
0x00, 0x14, 0x08, 0x3E, 0x08, 0x14,// *
0x00, 0x08, 0x08, 0x3E, 0x08, 0x08,// +
0x00, 0x00, 0x00, 0xA0, 0x60, 0x00,// ,
0x00, 0x08, 0x08, 0x08, 0x08, 0x08,// -
0x00, 0x00, 0x60, 0x60, 0x00, 0x00,// .
0x00, 0x20, 0x10, 0x08, 0x04, 0x02,// /
0x00, 0x3E, 0x51, 0x49, 0x45, 0x3E,// 0
0x00, 0x00, 0x42, 0x7F, 0x40, 0x00,// 1
0x00, 0x42, 0x61, 0x51, 0x49, 0x46,// 2
0x00, 0x21, 0x41, 0x45, 0x4B, 0x31,// 3
0x00, 0x18, 0x14, 0x12, 0x7F, 0x10,// 4
0x00, 0x27, 0x45, 0x45, 0x45, 0x39,// 5
0x00, 0x3C, 0x4A, 0x49, 0x49, 0x30,// 6
0x00, 0x01, 0x71, 0x09, 0x05, 0x03,// 7
0x00, 0x36, 0x49, 0x49, 0x49, 0x36,// 8
0x00, 0x06, 0x49, 0x49, 0x29, 0x1E,// 9
0x00, 0x00, 0x36, 0x36, 0x00, 0x00,// :
0x00, 0x00, 0x56, 0x36, 0x00, 0x00,// ;
0x00, 0x08, 0x14, 0x22, 0x41, 0x00,// <
0x00, 0x14, 0x14, 0x14, 0x14, 0x14,// =
0x00, 0x00, 0x41, 0x22, 0x14, 0x08,// >
0x00, 0x02, 0x01, 0x51, 0x09, 0x06,// ?
0x00, 0x32, 0x49, 0x59, 0x51, 0x3E,// @
0x00, 0x7C, 0x12, 0x11, 0x12, 0x7C,// A
0x00, 0x7F, 0x49, 0x49, 0x49, 0x36,// B
0x00, 0x3E, 0x41, 0x41, 0x41, 0x22,// C
0x00, 0x7F, 0x41, 0x41, 0x22, 0x1C,// D
0x00, 0x7F, 0x49, 0x49, 0x49, 0x41,// E
0x00, 0x7F, 0x09, 0x09, 0x09, 0x01,// F
0x00, 0x3E, 0x41, 0x49, 0x49, 0x7A,// G
0x00, 0x7F, 0x08, 0x08, 0x08, 0x7F,// H
0x00, 0x00, 0x41, 0x7F, 0x41, 0x00,// I
0x00, 0x20, 0x40, 0x41, 0x3F, 0x01,// J
0x00, 0x7F, 0x08, 0x14, 0x22, 0x41,// K
0x00, 0x7F, 0x40, 0x40, 0x40, 0x40,// L
0x00, 0x7F, 0x02, 0x0C, 0x02, 0x7F,// M
0x00, 0x7F, 0x04, 0x08, 0x10, 0x7F,// N
0x00, 0x3E, 0x41, 0x41, 0x41, 0x3E,// O
0x00, 0x7F, 0x09, 0x09, 0x09, 0x06,// P
0x00, 0x3E, 0x41, 0x51, 0x21, 0x5E,// Q
0x00, 0x7F, 0x09, 0x19, 0x29, 0x46,// R
0x00, 0x46, 0x49, 0x49, 0x49, 0x31,// S
0x00, 0x01, 0x01, 0x7F, 0x01, 0x01,// T
0x00, 0x3F, 0x40, 0x40, 0x40, 0x3F,// U
0x00, 0x1F, 0x20, 0x40, 0x20, 0x1F,// V
0x00, 0x3F, 0x40, 0x38, 0x40, 0x3F,// W
0x00, 0x63, 0x14, 0x08, 0x14, 0x63,// X
0x00, 0x07, 0x08, 0x70, 0x08, 0x07,// Y
0x00, 0x61, 0x51, 0x49, 0x45, 0x43,// Z
0x00, 0x00, 0x7F, 0x41, 0x41, 0x00,// [
0x00, 0x55, 0x2A, 0x55, 0x2A, 0x55,// 55
0x00, 0x00, 0x41, 0x41, 0x7F, 0x00,// ]
0x00, 0x04, 0x02, 0x01, 0x02, 0x04,// ^
0x00, 0x40, 0x40, 0x40, 0x40, 0x40,// _
0x00, 0x00, 0x01, 0x02, 0x04, 0x00,// '
0x00, 0x20, 0x54, 0x54, 0x54, 0x78,// a
0x00, 0x7F, 0x48, 0x44, 0x44, 0x38,// b
0x00, 0x38, 0x44, 0x44, 0x44, 0x20,// c
0x00, 0x38, 0x44, 0x44, 0x48, 0x7F,// d
0x00, 0x38, 0x54, 0x54, 0x54, 0x18,// e
0x00, 0x08, 0x7E, 0x09, 0x01, 0x02,// f
0x00, 0x18, 0xA4, 0xA4, 0xA4, 0x7C,// g
0x00, 0x7F, 0x08, 0x04, 0x04, 0x78,// h
0x00, 0x00, 0x44, 0x7D, 0x40, 0x00,// i
0x00, 0x40, 0x80, 0x84, 0x7D, 0x00,// j
0x00, 0x7F, 0x10, 0x28, 0x44, 0x00,// k
0x00, 0x00, 0x41, 0x7F, 0x40, 0x00,// l
0x00, 0x7C, 0x04, 0x18, 0x04, 0x78,// m
0x00, 0x7C, 0x08, 0x04, 0x04, 0x78,// n
0x00, 0x38, 0x44, 0x44, 0x44, 0x38,// o
0x00, 0xFC, 0x24, 0x24, 0x24, 0x18,// p
0x00, 0x18, 0x24, 0x24, 0x18, 0xFC,// q
0x00, 0x7C, 0x08, 0x04, 0x04, 0x08,// r
0x00, 0x48, 0x54, 0x54, 0x54, 0x20,// s
0x00, 0x04, 0x3F, 0x44, 0x40, 0x20,// t
0x00, 0x3C, 0x40, 0x40, 0x20, 0x7C,// u
0x00, 0x1C, 0x20, 0x40, 0x20, 0x1C,// v
0x00, 0x3C, 0x40, 0x30, 0x40, 0x3C,// w
0x00, 0x44, 0x28, 0x10, 0x28, 0x44,// x
0x00, 0x1C, 0xA0, 0xA0, 0xA0, 0x7C,// y
0x00, 0x44, 0x64, 0x54, 0x4C, 0x44,// z
0x14, 0x14, 0x14, 0x14, 0x14, 0x14,// horiz lines
};
/****************************************8*16µÄµãÕó************************************/
const unsigned char  F8X16[]=	  
{
  0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,// 0
  0x00,0x00,0x00,0xF8,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x33,0x30,0x00,0x00,0x00,//! 1
  0x00,0x10,0x0C,0x06,0x10,0x0C,0x06,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,//" 2
  0x40,0xC0,0x78,0x40,0xC0,0x78,0x40,0x00,0x04,0x3F,0x04,0x04,0x3F,0x04,0x04,0x00,//# 3
  0x00,0x70,0x88,0xFC,0x08,0x30,0x00,0x00,0x00,0x18,0x20,0xFF,0x21,0x1E,0x00,0x00,//$ 4
  0xF0,0x08,0xF0,0x00,0xE0,0x18,0x00,0x00,0x00,0x21,0x1C,0x03,0x1E,0x21,0x1E,0x00,//% 5
  0x00,0xF0,0x08,0x88,0x70,0x00,0x00,0x00,0x1E,0x21,0x23,0x24,0x19,0x27,0x21,0x10,//& 6
  0x10,0x16,0x0E,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,//' 7
  0x00,0x00,0x00,0xE0,0x18,0x04,0x02,0x00,0x00,0x00,0x00,0x07,0x18,0x20,0x40,0x00,//( 8
  0x00,0x02,0x04,0x18,0xE0,0x00,0x00,0x00,0x00,0x40,0x20,0x18,0x07,0x00,0x00,0x00,//) 9
  0x40,0x40,0x80,0xF0,0x80,0x40,0x40,0x00,0x02,0x02,0x01,0x0F,0x01,0x02,0x02,0x00,//* 10
  0x00,0x00,0x00,0xF0,0x00,0x00,0x00,0x00,0x01,0x01,0x01,0x1F,0x01,0x01,0x01,0x00,//+ 11
  0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x80,0xB0,0x70,0x00,0x00,0x00,0x00,0x00,//, 12
  0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x01,0x01,0x01,0x01,0x01,0x01,0x01,//- 13
  0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x30,0x30,0x00,0x00,0x00,0x00,0x00,//. 14
  0x00,0x00,0x00,0x00,0x80,0x60,0x18,0x04,0x00,0x60,0x18,0x06,0x01,0x00,0x00,0x00,/// 15
  0x00,0xE0,0x10,0x08,0x08,0x10,0xE0,0x00,0x00,0x0F,0x10,0x20,0x20,0x10,0x0F,0x00,//0 16
  0x00,0x10,0x10,0xF8,0x00,0x00,0x00,0x00,0x00,0x20,0x20,0x3F,0x20,0x20,0x00,0x00,//1 17
  0x00,0x70,0x08,0x08,0x08,0x88,0x70,0x00,0x00,0x30,0x28,0x24,0x22,0x21,0x30,0x00,//2 18
  0x00,0x30,0x08,0x88,0x88,0x48,0x30,0x00,0x00,0x18,0x20,0x20,0x20,0x11,0x0E,0x00,//3 19
  0x00,0x00,0xC0,0x20,0x10,0xF8,0x00,0x00,0x00,0x07,0x04,0x24,0x24,0x3F,0x24,0x00,//4 20
  0x00,0xF8,0x08,0x88,0x88,0x08,0x08,0x00,0x00,0x19,0x21,0x20,0x20,0x11,0x0E,0x00,//5 21
  0x00,0xE0,0x10,0x88,0x88,0x18,0x00,0x00,0x00,0x0F,0x11,0x20,0x20,0x11,0x0E,0x00,//6 22
  0x00,0x38,0x08,0x08,0xC8,0x38,0x08,0x00,0x00,0x00,0x00,0x3F,0x00,0x00,0x00,0x00,//7 23
  0x00,0x70,0x88,0x08,0x08,0x88,0x70,0x00,0x00,0x1C,0x22,0x21,0x21,0x22,0x1C,0x00,//8 24
  0x00,0xE0,0x10,0x08,0x08,0x10,0xE0,0x00,0x00,0x00,0x31,0x22,0x22,0x11,0x0F,0x00,//9 25
  0x00,0x00,0x00,0xC0,0xC0,0x00,0x00,0x00,0x00,0x00,0x00,0x30,0x30,0x00,0x00,0x00,//: 26
  0x00,0x00,0x00,0x80,0x00,0x00,0x00,0x00,0x00,0x00,0x80,0x60,0x00,0x00,0x00,0x00,//; 27
  0x00,0x00,0x80,0x40,0x20,0x10,0x08,0x00,0x00,0x01,0x02,0x04,0x08,0x10,0x20,0x00,//< 28
  0x40,0x40,0x40,0x40,0x40,0x40,0x40,0x00,0x04,0x04,0x04,0x04,0x04,0x04,0x04,0x00,//= 29
  0x00,0x08,0x10,0x20,0x40,0x80,0x00,0x00,0x00,0x20,0x10,0x08,0x04,0x02,0x01,0x00,//> 30
  0x00,0x70,0x48,0x08,0x08,0x08,0xF0,0x00,0x00,0x00,0x00,0x30,0x36,0x01,0x00,0x00,//? 31
  0xC0,0x30,0xC8,0x28,0xE8,0x10,0xE0,0x00,0x07,0x18,0x27,0x24,0x23,0x14,0x0B,0x00,//@ 32
  0x00,0x00,0xC0,0x38,0xE0,0x00,0x00,0x00,0x20,0x3C,0x23,0x02,0x02,0x27,0x38,0x20,//A 33
  0x08,0xF8,0x88,0x88,0x88,0x70,0x00,0x00,0x20,0x3F,0x20,0x20,0x20,0x11,0x0E,0x00,//B 34
  0xC0,0x30,0x08,0x08,0x08,0x08,0x38,0x00,0x07,0x18,0x20,0x20,0x20,0x10,0x08,0x00,//C 35
  0x08,0xF8,0x08,0x08,0x08,0x10,0xE0,0x00,0x20,0x3F,0x20,0x20,0x20,0x10,0x0F,0x00,//D 36
  0x08,0xF8,0x88,0x88,0xE8,0x08,0x10,0x00,0x20,0x3F,0x20,0x20,0x23,0x20,0x18,0x00,//E 37
  0x08,0xF8,0x88,0x88,0xE8,0x08,0x10,0x00,0x20,0x3F,0x20,0x00,0x03,0x00,0x00,0x00,//F 38
  0xC0,0x30,0x08,0x08,0x08,0x38,0x00,0x00,0x07,0x18,0x20,0x20,0x22,0x1E,0x02,0x00,//G 39
  0x08,0xF8,0x08,0x00,0x00,0x08,0xF8,0x08,0x20,0x3F,0x21,0x01,0x01,0x21,0x3F,0x20,//H 40
  0x00,0x08,0x08,0xF8,0x08,0x08,0x00,0x00,0x00,0x20,0x20,0x3F,0x20,0x20,0x00,0x00,//I 41
  0x00,0x00,0x08,0x08,0xF8,0x08,0x08,0x00,0xC0,0x80,0x80,0x80,0x7F,0x00,0x00,0x00,//J 42
  0x08,0xF8,0x88,0xC0,0x28,0x18,0x08,0x00,0x20,0x3F,0x20,0x01,0x26,0x38,0x20,0x00,//K 43
  0x08,0xF8,0x08,0x00,0x00,0x00,0x00,0x00,0x20,0x3F,0x20,0x20,0x20,0x20,0x30,0x00,//L 44
  0x08,0xF8,0xF8,0x00,0xF8,0xF8,0x08,0x00,0x20,0x3F,0x00,0x3F,0x00,0x3F,0x20,0x00,//M 45
  0x08,0xF8,0x30,0xC0,0x00,0x08,0xF8,0x08,0x20,0x3F,0x20,0x00,0x07,0x18,0x3F,0x00,//N 46
  0xE0,0x10,0x08,0x08,0x08,0x10,0xE0,0x00,0x0F,0x10,0x20,0x20,0x20,0x10,0x0F,0x00,//O 47
  0x08,0xF8,0x08,0x08,0x08,0x08,0xF0,0x00,0x20,0x3F,0x21,0x01,0x01,0x01,0x00,0x00,//P 48
  0xE0,0x10,0x08,0x08,0x08,0x10,0xE0,0x00,0x0F,0x18,0x24,0x24,0x38,0x50,0x4F,0x00,//Q 49
  0x08,0xF8,0x88,0x88,0x88,0x88,0x70,0x00,0x20,0x3F,0x20,0x00,0x03,0x0C,0x30,0x20,//R 50
  0x00,0x70,0x88,0x08,0x08,0x08,0x38,0x00,0x00,0x38,0x20,0x21,0x21,0x22,0x1C,0x00,//S 51
  0x18,0x08,0x08,0xF8,0x08,0x08,0x18,0x00,0x00,0x00,0x20,0x3F,0x20,0x00,0x00,0x00,//T 52
  0x08,0xF8,0x08,0x00,0x00,0x08,0xF8,0x08,0x00,0x1F,0x20,0x20,0x20,0x20,0x1F,0x00,//U 53
  0x08,0x78,0x88,0x00,0x00,0xC8,0x38,0x08,0x00,0x00,0x07,0x38,0x0E,0x01,0x00,0x00,//V 54
  0xF8,0x08,0x00,0xF8,0x00,0x08,0xF8,0x00,0x03,0x3C,0x07,0x00,0x07,0x3C,0x03,0x00,//W 55
  0x08,0x18,0x68,0x80,0x80,0x68,0x18,0x08,0x20,0x30,0x2C,0x03,0x03,0x2C,0x30,0x20,//X 56
  0x08,0x38,0xC8,0x00,0xC8,0x38,0x08,0x00,0x00,0x00,0x20,0x3F,0x20,0x00,0x00,0x00,//Y 57
  0x10,0x08,0x08,0x08,0xC8,0x38,0x08,0x00,0x20,0x38,0x26,0x21,0x20,0x20,0x18,0x00,//Z 58
  0x00,0x00,0x00,0xFE,0x02,0x02,0x02,0x00,0x00,0x00,0x00,0x7F,0x40,0x40,0x40,0x00,//[ 59
  0x00,0x0C,0x30,0xC0,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x01,0x06,0x38,0xC0,0x00,//\ 60
  0x00,0x02,0x02,0x02,0xFE,0x00,0x00,0x00,0x00,0x40,0x40,0x40,0x7F,0x00,0x00,0x00,//] 61
  0x00,0x00,0x04,0x02,0x02,0x02,0x04,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,//^ 62
  0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x80,0x80,0x80,0x80,0x80,0x80,0x80,0x80,//_ 63
  0x00,0x02,0x02,0x04,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,//` 64
  0x00,0x00,0x80,0x80,0x80,0x80,0x00,0x00,0x00,0x19,0x24,0x22,0x22,0x22,0x3F,0x20,//a 65
  0x08,0xF8,0x00,0x80,0x80,0x00,0x00,0x00,0x00,0x3F,0x11,0x20,0x20,0x11,0x0E,0x00,//b 66
  0x00,0x00,0x00,0x80,0x80,0x80,0x00,0x00,0x00,0x0E,0x11,0x20,0x20,0x20,0x11,0x00,//c 67
  0x00,0x00,0x00,0x80,0x80,0x88,0xF8,0x00,0x00,0x0E,0x11,0x20,0x20,0x10,0x3F,0x20,//d 68
  0x00,0x00,0x80,0x80,0x80,0x80,0x00,0x00,0x00,0x1F,0x22,0x22,0x22,0x22,0x13,0x00,//e 69
  0x00,0x80,0x80,0xF0,0x88,0x88,0x88,0x18,0x00,0x20,0x20,0x3F,0x20,0x20,0x00,0x00,//f 70
  0x00,0x00,0x80,0x80,0x80,0x80,0x80,0x00,0x00,0x6B,0x94,0x94,0x94,0x93,0x60,0x00,//g 71
  0x08,0xF8,0x00,0x80,0x80,0x80,0x00,0x00,0x20,0x3F,0x21,0x00,0x00,0x20,0x3F,0x20,//h 72
  0x00,0x80,0x98,0x98,0x00,0x00,0x00,0x00,0x00,0x20,0x20,0x3F,0x20,0x20,0x00,0x00,//i 73
  0x00,0x00,0x00,0x80,0x98,0x98,0x00,0x00,0x00,0xC0,0x80,0x80,0x80,0x7F,0x00,0x00,//j 74
  0x08,0xF8,0x00,0x00,0x80,0x80,0x80,0x00,0x20,0x3F,0x24,0x02,0x2D,0x30,0x20,0x00,//k 75
  0x00,0x08,0x08,0xF8,0x00,0x00,0x00,0x00,0x00,0x20,0x20,0x3F,0x20,0x20,0x00,0x00,//l 76
  0x80,0x80,0x80,0x80,0x80,0x80,0x80,0x00,0x20,0x3F,0x20,0x00,0x3F,0x20,0x00,0x3F,//m 77
  0x80,0x80,0x00,0x80,0x80,0x80,0x00,0x00,0x20,0x3F,0x21,0x00,0x00,0x20,0x3F,0x20,//n 78
  0x00,0x00,0x80,0x80,0x80,0x80,0x00,0x00,0x00,0x1F,0x20,0x20,0x20,0x20,0x1F,0x00,//o 79
  0x80,0x80,0x00,0x80,0x80,0x00,0x00,0x00,0x80,0xFF,0xA1,0x20,0x20,0x11,0x0E,0x00,//p 80
  0x00,0x00,0x00,0x80,0x80,0x80,0x80,0x00,0x00,0x0E,0x11,0x20,0x20,0xA0,0xFF,0x80,//q 81
  0x80,0x80,0x80,0x00,0x80,0x80,0x80,0x00,0x20,0x20,0x3F,0x21,0x20,0x00,0x01,0x00,//r 82
  0x00,0x00,0x80,0x80,0x80,0x80,0x80,0x00,0x00,0x33,0x24,0x24,0x24,0x24,0x19,0x00,//s 83
  0x00,0x80,0x80,0xE0,0x80,0x80,0x00,0x00,0x00,0x00,0x00,0x1F,0x20,0x20,0x00,0x00,//t 84
  0x80,0x80,0x00,0x00,0x00,0x80,0x80,0x00,0x00,0x1F,0x20,0x20,0x20,0x10,0x3F,0x20,//u 85
  0x80,0x80,0x80,0x00,0x00,0x80,0x80,0x80,0x00,0x01,0x0E,0x30,0x08,0x06,0x01,0x00,//v 86
  0x80,0x80,0x00,0x80,0x00,0x80,0x80,0x80,0x0F,0x30,0x0C,0x03,0x0C,0x30,0x0F,0x00,//w 87
  0x00,0x80,0x80,0x00,0x80,0x80,0x80,0x00,0x00,0x20,0x31,0x2E,0x0E,0x31,0x20,0x00,//x 88
  0x80,0x80,0x80,0x00,0x00,0x80,0x80,0x80,0x80,0x81,0x8E,0x70,0x18,0x06,0x01,0x00,//y 89
  0x00,0x80,0x80,0x80,0x80,0x80,0x80,0x00,0x00,0x21,0x30,0x2C,0x22,0x21,0x30,0x00,//z 90
  0x00,0x00,0x00,0x00,0x80,0x7C,0x02,0x02,0x00,0x00,0x00,0x00,0x00,0x3F,0x40,0x40,//{ 91
  0x00,0x00,0x00,0x00,0xFF,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0xFF,0x00,0x00,0x00,//| 92
  0x00,0x02,0x02,0x7C,0x80,0x00,0x00,0x00,0x00,0x40,0x40,0x3F,0x00,0x00,0x00,0x00,//} 93
  0x00,0x06,0x01,0x01,0x02,0x02,0x04,0x04,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,//~ 94
};
const unsigned char  Hzk[][32]={


{0x22,0x14,0x08,0xF4,0x02,0x20,0x24,0x24,0xA4,0x7F,0x24,0x34,0x28,0x26,0x20,0x00},
{0x44,0x82,0x41,0x3F,0x00,0x04,0x02,0xFF,0x49,0x49,0x49,0x49,0xFF,0x00,0x00,0x00},//"0"Öí"
{0x22,0x14,0x08,0xF4,0x02,0x20,0x24,0x24,0xA4,0x7F,0x24,0x34,0x28,0x26,0x20,0x00},
{0x44,0x82,0x41,0x3F,0x00,0x04,0x02,0xFF,0x49,0x49,0x49,0x49,0xFF,0x00,0x00,0x00},//"1Öí"
{0x20,0x20,0x24,0xA4,0xAC,0xB4,0xA5,0xA6,0xA4,0xB4,0xAC,0xA4,0x24,0x20,0x20,0x00},
{0x80,0x80,0xA0,0xAF,0xAA,0xAA,0xAA,0xFF,0xAA,0xAA,0xAA,0xAF,0xA0,0x80,0x80,0x00},//"2ͯ"
{0x04,0xC4,0x5F,0xF4,0x5F,0xC4,0x04,0x40,0x44,0x44,0x7F,0x44,0x44,0x44,0x40,0x00},
{0x08,0x0B,0x0A,0xFF,0x0A,0x0B,0x08,0x40,0x44,0x44,0x7F,0x44,0x44,0x44,0x40,0x00},//"3Ь"


};

#endif


4、字体取模软件参数,软件为PCtoLCD2002完美版

 

  • 0
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值