单片机之FPGA VHDL和Verilog

我们在学数字逻辑的时候编写过部分的VHDL代码,知道它的一些基本结构及语法,但是Verilog没有深入了解过(因为菜菜觉得会一种就好啦,但是事实似乎证明Verilog似乎更好学也更简洁)


那我们就先学一下它们特点的不同之处

VHDLVerilog
ADA-LIKE, 语法冗长C-Like, 语法简洁
可扩展的数据类型和仿真引擎内建的数据类型
设计由多个entity构成,每个entity可以对应多个实现设计由modules组成,每个module只有一个实现
可以进行门级,数据流级和行为级建模可以进行门级,数据流级和行为级建模
可综合的语言子集可综合的语言子集
难于学习易于学习
模块结构

VHDL

实体

Entity 实体名 is
	[类属参数说明]
	[端口说明]
end Entity;

构造体

Arcthitecture 构造体名 of 实体名 is
	[定义语句] 内部信号,常数,元件,
			  数据类型,函数等定义
begin 
	[并行处理语句和block, process]

end 构造体名;

Verilog

Module 模块名称(端口列表);
	端口定义
	信号类型定义;

	结构描述(assign, always)

end module
  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值