自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(4)
  • 收藏
  • 关注

原创 异步FIFO设计及测试

代表先进的数据先出 ,后进的数据后出。FIFO按读数据和写数据工作的时钟域是否相同分为同步FIFO和异步FIFO。由于同步FIFO设计较于简单,故本文仅讨论异步FIFO的设计。

2023-08-08 22:37:57 316

原创 自动贩卖机的Verilog代码设计以及测试

设计一个自动贩卖机,设商品售价2.5元,可使用5角和一元硬币,有找零功能。

2023-07-05 17:00:04 2359 1

原创 Virtuoso 添加工艺库不能保存(Couldn‘t save to file:“/opt/Cadence/lC617/share/cdssetup/cds.lib“ It can‘t )解决办法

Couldn't save to file:"/opt/Cadence/lC617/share/cdssetup/cds.lib"It can't be locked for edit.

2022-07-20 21:03:08 5586 10

原创 Vivado Synthesis failed 解决办法

遇到问题如下我电脑名称之前是中文名,改成英文名问题就解决了。改完电脑名字之后要重启电脑,然后打开Vivado,重新RunSynthesis就好了。

2022-04-04 00:00:42 2774 4

空空如也

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除