Verilog HDL 程序设计(一)

1、4位全加器

module adder_4(a,b,cin,sum,cout);
	
	input [3:0]a,b;
	input cin;
	output [3:0]sum;
	output cout;
	
	assign {cout,sum}=a+b+cin;

endmodule 

`timescale 1ns/1ns
module adder_4_tb;
	reg [3:0]a;
	reg [3:0]b;
	reg cin;
	
	wire [3:0]sum;
	wire cout;
	integer i,j;

	adder_4  adder_4(
	.a(a),
	.b(b),
	.cin(cin),
	.sum(sum),
	.cout(cout));
	

	
	always #5 cin = ~cin;
	
	initial 
	begin 
		a=0;b=0;cin=0;
		for(i=1;i<16;i=i+1)
		begin 
			#10;a=i;
			for(j=1;j<16;j=j+1)
			begin 				
			#10;b=j;
			end
			end  
		#160;
		$stop;
	end

	
endmodule

 2、4位计数器

module count_4(clk,Rst_n,out);
	
	input clk;
	input Rst_n;
	output reg [3:0]out;
	
	always @(posedge clk or negedge Rst_n)
	begin
		if(!Rst_n)
			out <= 4'b0;
		else 
			out <= out + 1'b1;
	end
endmodule

`timescale 1ns/1ns
module count_4_tb;

	reg clk;
	reg Rst_n;
	wire [3:0]out;
	
	count_4 count_40(
	.clk(clk),
	.Rst_n(Rst_n),
	.out(out));
	
	initial clk=1;
	always #10 clk=~clk;
	
	initial 
	begin 
		Rst_n=0;
		#20;
		Rst_n=1;
		#200;
		$stop;
	end
	
	
endmodule

 

  • 2
    点赞
  • 3
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

发光中请勿扰

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值