自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(13)
  • 资源 (3)
  • 收藏
  • 关注

原创 雷达原理与系统仿真实验 matlab仿真常见雷达信号时频分析与模糊函数图

malab仿真常见雷达信号时频分析与模糊函数图

2022-07-10 16:02:18 2013 3

原创 雷达原理与系统仿真实验 LFM信号的目标回波与脉冲压缩处理

matlab 雷达LFM信号目标回波与脉冲压缩处理仿真

2022-07-10 15:59:47 1367 1

原创 Verilog 代码编写 DDS信号发生器(幅频相可调正弦波、方波、三角波、锯齿波)纯VIVADO编写仿真

Verilog FPGA DDS信号发生器

2022-01-23 15:19:25 22624 40

原创 Verilog 代码编写 FPGA 数字CMI编码

题目: 试用 FPGA 实现如下 32bit 数据 32‘hCA535A7E 的 CMI 码,FPGA 输入时钟30M,码流输出时钟为 5M,给出代码并仿真。分析: 为了提高通信系统的有效性,一般需要对将要发送的数据进行信源编码,通信信号信源编码的主要任务有两个:一是将信源送出的模拟信号数字化,即 A/D变换,用一定的数字脉冲组合来表示信号的一定幅度。通常将这种过程称为脉冲编码调制(PCM),简称为编码。二是提高信号传输的有效性,进行压缩编码。 ...

2022-01-20 14:30:34 2127

原创 Verilog 代码编写 IIC通信-主到从向芯片写入数据

题目: 根据课堂讲授的基本原理,自己尝试编写一个 IIC 控制逻辑,FPGA 的输入时钟为 10MHz,IIC 的通信频率为 400KHz,要求 FPGA 向 AD 芯片写入控制指令,AD 芯片的地址为 0000123(改为十进制 123,即 01111011),AD 芯片中有三个地址连续的寄存器, 地址为 0x48,配置数据为 0x55,地址为 0x49,配置数据为 0xAA,地址为 0x50,配置数据为 0xCC,试画出电路连接框图、状态转移图、完成代码编写并仿真。分析...

2022-01-20 13:52:10 1709

原创 Verilog 代码编写 状态机-序列检测

题目: 有一种特殊的串行通信系统,数据以包的形式传输,数据的包头为 01111110, 然后为数据内容,然后 01111110 结尾,现在需要编写程序,完成数据包头插入和接收,试画出两种情况下FSM 转态转移图。分析: 按照题意,数据接收开始对包头数据进行检测,当检测到 01111110 序列时, 将开启传输信号,正式接收数据内容,然后边传输边继续检测序列,当再次出现 01111110 序列时,停止传输,接收停止。 采用有限状态机的方法来进行编写,需要先明确各状态和状态...

2022-01-19 17:37:20 1789

原创 Verilog 代码编写 FPGA分频器

时钟信号的处理是FPGA的特色之一,因此分频器也是FPGA设计中使用频率非常高的基本设计之一。一般在FPGA中都有集成的锁相环可以实现各种时钟的分频和倍频设计,但是通过语言设计进行时钟分频是最基本的训练,在对时钟要求不高的设计时也能节省锁相环资源。在此将分享实现任意整数的分频器,分频的时钟保持50%占空比。1.偶数分频:偶数倍分频相对简单,比较容易理解。通过计数器计数是完全可以实现的。如进行N倍偶数分频,那么通过时钟触发计数器计数,当计数器从0计数到N/2-1时,输出时钟进行翻转,以此循环...

2021-08-20 15:00:54 2350

原创 数字电路基础实验 Verilog代码编写(2)时序逻辑

完成上篇的组合逻辑电路,将要学习的是Verilog语言中的另一重要部分,时序逻辑部分电路,加入了时钟,能让电路实现更丰富的功能。(代码参考自网上)1.R-S触发器: 基本RS触发器可以由两个与非门按正反馈方式闭合构成。通常将Q端的状态定义为锁存器的状态,即Q=1时,称为锁存器处于1的状态;Q=0时,称锁存器处于0的状态,电路具有两个稳态。电路要改变状态必须加入触发信号,因是与非门构成的基本RS触发器,所以,触发信号是低电平有效。非Rd和非Sd是一次信号,只能一个...

2021-08-17 23:35:54 5517 1

原创 数字电路基础实验 Verilog代码编写(1)组合逻辑

学习并掌握Verilog HDL为FPGA等的学习提供基础,基于课堂上刚学完的数字电路逻辑,现利用Verilog语言进行对数字电路中的常见电路进行描述,从中加深对数字电路逻辑的理解以及对Verilog的熟练应用。(代码参考自网上)1.一位半加器电路: 加法器是逻辑运算电路中最基础的组成单元。将如果不考虑有来自低位的进位, 将两个二进制数相加, 称为半加, 实现半加的电路叫做半加器。1位半加器每次对两个1位的二级制数进行相加。按照二进制加法运算规则, 可以得到如下表所示的半...

2021-08-16 19:43:31 3929

原创 MSP430主控 Energia编译(似Arduino) TI坡道行驶小车(4)总体功能实现与代码

经过我们前面的准备以后,小车已经被我们安装好了,现在就只需要上传代码了。(安装前应该先对各模块进行示例程序的上传来验证该模块的完整性,如果模块没问题再进行小车的接线与封装) 如图为我本次实验的小车成品与部分功能图片演示: LCD显示实时速度与运行时间:程序说明:1.引脚定义与库的说明(仅需要定义伺服电机库-控制舵机转前轮;液晶屏显示库-控制LCD):#include <Servo.h>#include <L...

2021-07-29 15:13:34 3920 21

原创 MSP430主控 Energia编译(似Arduino) TI坡道行驶小车(3)接线与Energia功能查找

MSP430系列单片机,如本次我采用的MSP430F5529LP有很多IO口,不同的端口有不同的功能,有一些是复用的IO口,有一些是有特殊功能的端口。我们可以通过Energia官网来查找我们的开发板的端口对应图,来安排端口的使用。 进入Energia官网(https://energia.nu),选择最上方的Pin Maps,可以看到很多开发板的引脚图,选择自己的开发板后(如我的MSP430F5529LP),就可以看到自己的开发板引脚图,可以帮助我们合理的规划引脚资源。...

2021-07-27 15:58:25 2759 2

原创 MSP430主控 Energia编译(似Arduino) TI坡道行驶小车(2)思路架构与硬件选择

软件安装完毕后,我们就可以把MSP430/432接上电脑,上传一些简单的示例程序(如点灯)看有没有问题,如果没有问题的话,就可以开始项目制作了。

2021-06-10 16:41:28 2293 8

原创 MSP430主控 Energia编译(似Arduino) TI坡道行驶小车(1)关于MSP的Energia使用

看到网上有很多MSP430/432的学习资料,基本都是使用的CCS编译的,虽然MSP系列单片机的学习基本也是库函数的调用,本质上不算太难,但是对于b

2021-06-06 21:44:39 2350 9

雷达原理与系统 matlab仿真代码 (LFM线性调频信号目标回波和脉冲压缩处理)

雷达原理与系统 matlab仿真代码 (LFM线性调频信号目标回波和脉冲压缩处理)

2022-07-10

雷达原理与系统 matlab仿真代码 常见信号时频分析与模糊函数图(单载频,LFM,相位编码)

雷达原理与系统 matlab仿真代码 常见信号时频分析与模糊函数图(单载频,LFM,相位编码)

2022-07-10

1024点正弦波波表数据(VIVADO软件coe文件)

1024点正弦波波表数据(VIVADO软件coe文件)

2022-01-23

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除