FPGA实现流水灯

定时器实现

FPGA采用50MHz时钟,一个时钟周期20ns,因此实现1s的定时需要计数器计时50_000_000次。只要计数器未达到计数最大值就一直计数,计数到最大值后清零即可。

流水灯驱动

每次计时达到1s就对流水灯的驱动寄存器进行循环移位操作(这里使用循环左移):

led_drv<={led_drv[2:0],led_drv[3]};

完整代码

module led_flash(
    input sys_clk,
    input rst_n,
    output reg [3:0] led_drv
    );
    parameter    T_1s    =    50_000_000; 
    reg [25:0] cnt;

    //计数器控制
    always @(posedge sys_clk,negedge rst_n ) begin
        if(!rst_n)
            cnt<=26'b0;
        else
            if(cnt<T_1s-1'b1)
                cnt<=cnt+1'b1;
            else
                cnt<=26'b0;
    end
    //输出驱动控制
    always @(posedge sys_clk,negedge rst_n) begin
        if(!rst_n)
            led_drv<=4'b0001;
        else
            if(cnt==T_1s-1'b1)
                led_drv<={led_drv[2:0],led_drv[3]};
            else
                led_drv<=led_drv;

    end

endmodule

FPGA管脚约束

与FPGA相连的管脚有sys_clk、led_drv、rst_n,对这些管脚的连接关系和管脚电平进行如下约束:

############## clock define##################
create_clock -period 20.000 [get_ports sys_clk]
set_property PACKAGE_PIN H16 [get_ports sys_clk]
set_property IOSTANDARD LVCMOS33 [get_ports sys_clk]
############## key define##################
set_property PACKAGE_PIN R19 [get_ports rst_n]
set_property IOSTANDARD LVCMOS33 [get_ports rst_n]
##############LED define##################

set_property PACKAGE_PIN G14 [get_ports {led_drv[0]}]
set_property PACKAGE_PIN C20 [get_ports {led_drv[1]}]
set_property PACKAGE_PIN B20 [get_ports {led_drv[2]}]
set_property PACKAGE_PIN H17 [get_ports {led_drv[3]}]

set_property IOSTANDARD LVCMOS33 [get_ports {led_drv[*]}]

实验结果

初始只有一个灯亮,每各一秒就会循环移动一次

  • 1
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值