fpga--流水灯

fpga流水灯的设计

思路:外部时钟频率50mhz,若要实现每隔0.5s闪烁一次,则使用内部计数器计数到24999999拉高一个周期电平,当电平被拉高的时候,进行LED灯电平的设置,每次检测到高电平,就进行一位LED灯的设置,进行循环设置,就形成了流水灯的设计。

module flow_led
#(parameter cnt_max =25'd24999999) //0.5s闪烁一下
(input wire clk,
input wire rst,
output reg [3:0] led);

reg [24:0] cnt;
reg cnt_flag;

always@(posedge clk or negedge rst )
	begin
		if(rst==1'b0)
			cnt<=25'd0;
		else if(cnt==cnt_max)
			cnt<=25'd0;
		else
			cnt<=cnt+25'd1;
	end
	
always@(posedge clk or negedge rst)
	begin
		if(rst==1'b0)
			cnt_flag<=1'b0;
		else if(cnt == (cnt_max)-1)
			cnt_flag<=1'b1;
		else
			cnt_flag<=1'b0;
	end
	
always@(posedge clk or negedge rst)
	begin
		if(rst==1'b0)
			led <=4'b1110;
		else if(cnt_flag==1'b1 && led ==4'b1110)
			led <=4'b1101;
		else if(cnt_flag==1'b1 && led ==4'b1101)
			led <=4'b1011;
		else if(cnt_flag==1'b1 && led ==4'b1011)
			led <=4'b0111;
		else if(cnt_flag==1'b1 && led ==4'b0111)
			led <=4'b1110;		
	end
	
endmodule

测试代码

 

`timescale 1ns/1ns
`include"flow_led.v"

module top();

reg clk;
reg rst;
wire [3:0] led;

initial
	begin
		rst = 1'b0;
		clk = 1'b0;
		#20
		rst = 1'b1;
	end
	
always #10 clk=~clk;

flow_led 
#(.cnt_max (25'd24))
led_inst(clk,rst,led);

endmodule

  • 1
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值