按键控制流水灯方向——FPGA


前言

环境:
1、Quartus18.0
2、vscode
3、板子型号:EP4CE6F17C8
要求:
按键1按下,流水灯从右开始向左开始流动,按键2按下,流水灯从左开始向右开始流动,按键3按下LED每隔1s进行亮灭,按键4按下LED常亮。


一、按键

在这里插入图片描述
在这里插入图片描述

“自锁”是指开关能通过锁定机构保持某种状态(通或断),“轻触”是说明操作开关使用的力量大小。cyclone IV开发板上的按键属于轻触式按键。

二、系统设计

1、模块框图

在这里插入图片描述

2、RTL视图

在这里插入图片描述

三、源码

module key_led #(parameter  MAX_NUM = 24'd9_999_999)(
    input   clk,
    input   rst_n,
    input   [3:0] key,

    output  reg [3:0] led
);

//parameter  MAX_NUM = 24'd9_999_999;//0.2s
reg [1:0] state;
reg [23:0] cnt;
reg [3:0]  key_r;

always @(posedge clk or negedge rst_n) begin
    if(!rst_n)begin
        cnt <= 24'd0;
    end
    else if (cnt == MAX_NUM) begin
        cnt <= 24'd0;
    end
    else begin
        cnt <= cnt + 1'd1;
    end
end

always @(posedge clk or negedge rst_n) begin
    if(!rst_n)begin
        state <= 24'd0;
    end
    else if (cnt == MAX_NUM) begin
        state <= state + 2'd1;
    end
    else begin
        state <= state;
    end
end

always @(posedge clk or negedge rst_n) begin
    if(!rst_n)begin
        led <= 4'b0000;
    end
    else if (key[0] == 0) begin
            case (state)
                2'd0 : led <= 4'b0001;
                2'd1 : led <= 4'b0010;
                2'd2 : led <= 4'b0100;
                2'd3 : led <= 4'b1000; 
                default: ;
            endcase
        end
    else if (key[1] == 0) begin
            case (state)
                2'd0 : led <= 4'b1000;
                2'd1 : led <= 4'b0100;
                2'd2 : led <= 4'b0010;
                2'd3 : led <= 4'b0001; 
                default: ;
            endcase
        end
    else if (key[2] == 0) begin
            case (state)
                2'd0 : led <= 4'b1111;
                2'd1 : led <= 4'b0000;
                2'd2 : led <= 4'b1111;
                2'd3 : led <= 4'b0000; 
                default: ;
            endcase
        end
    else if (key[3] == 0) begin
            case (state)
                2'd0 : led <= 4'b1111;
                2'd1 : led <= 4'b1111;
                2'd2 : led <= 4'b1111;
                2'd3 : led <= 4'b1111; 
                default: ;
            endcase
        end
    else 
        led <= led;
end

endmodule

四、效果

按键控制流水灯


五、总结

这里的实现并不难,加强了按键与LED的互动性,使用了状态控制LED,槽点就是按键没有进行消抖。

六、参考资料

按键控制led灯

  • 0
    点赞
  • 3
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

混子王江江

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值