自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(5)
  • 收藏
  • 关注

原创 基于Quartus18.1软件的自定义IP核流程记录

在学习时训练如何自定义IP核心,在网络上搜索流程发现大部分都是Vivado的自定义流程,所以在成功创建我的串口收发IP后用博客来记录一下具体流程。

2023-08-21 20:08:34 1511 1

原创 基于FPGA驱动WS2812B

WS2812B灯驱动与控制代码实现

2023-08-14 21:05:37 381

原创 基于FPGA的按键消抖

那么对于计时器时间的选择,一般抖动时间取决于机械结构,一般就10ms左右,如果不同你也可以通过查询使用的按键或者自己写一个慢慢该数据看现象来确定,然后按下时间一般就取决于操作员,但是正常按键的话一般都是在200ms到300ms左右,最快也就40ms,所以定时时间可以通过测试来优化,但一般我们采用延时20ms。不能突变,因此即使在接触过程中出现抖动,只要C两端的充电电压波动不超过非门的开启电压(TTL为0.8V左右),非门的输出就不会改变(可通过选取合适的R1、R2和C的值来实现)。

2023-07-26 19:28:38 303 1

原创 基于FPGA实现呼吸灯原理

我们这里采用多个计数器来实现1s变化呼吸灯,我们定义三个计数器cnt_us,cnt_ms,cnt_s他们分别需要计数TIME_US = 50,TIME_MS = 1000(TIME_MS = 1000此位置为1000是因为该计数器标志位为US计数器的结束标志位,当计数50后才会使cnt_ms加一,所以记满MS需要计数50×1000,就正好等于我们需要的MS计数值,后方的TIME_S同理),TIME_S = 1000。逐渐增大有了,在最亮时我们则需要占空比逐渐变小,我们可以逆向思维,我们使用。

2023-07-25 15:43:36 126

原创 Quartus18.1+DSPBuilder标准版安装及破解

2.Quartus18.1 https://www.aliyundrive.com/s/kicNDZzWjcg 点击链接保存,或者复制本段内容,打开「阿里云盘」APP ,无需下载极速在线查看,视频原画倍速播放。选择图上点击ok,再选择你的license.dat,下图中上第二个红框进行选择;以前一直使用lite版本,现在正好在学习FPGA便重新安装一个标准版并记录。等待安装完成,驱动直接点确定加信任就彳亍了。安装了matlab在可以选择matlab路径。然后点击OK即可,正确的话可以看到下图内容。

2023-07-10 12:50:58 3210 3

空空如也

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除