自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(3)
  • 收藏
  • 关注

原创 Vivado中关联ModelSim

1、打开库路径下的modelsim.ini文件并找到“;mvc_lib = $MODEL_TECH/../mvc_lib”,下面对应的都是编译好的IP所处的路径,将这些全部复制,然后打开modelsim安装路径下的modelsim.ini文件并完成粘贴;3、在modelsim安装路径下有一个modelsim.ini文件,取消其只读属性,然后用记事本将其打开,找到“modelsim_lib = $MODEL_TECH/../modelsim_lib”,将复制的内容粘贴到这行下面。ModelSim安装。

2023-10-16 18:47:43 2777 6

原创 ModelSim2019.4安装

2、将软件安装包里的patch中的MentorKG.exe MGLS.DLL和patch_dll.bat一起拷贝到modelsim安装目录的win32或win64下。3、运行patch_dll.bat,稍等片刻,会生成license文件,将license文件另存为放到安装目录下。3、 上面的是用户变量,我们只需要在下面的系统变量添加即可,点击新建,出现对话框。1、在软件安装目录中找到mgls64.dll文件,将只读的。1、以管理员身份运行exe文件。5、在桌面创建图表,选择是。6、添加路径,选择是。

2023-10-16 16:36:12 681 2

原创 Vivado仿真时出现另一个进程正在使用此文件,进程无法访问

解决方法一:解决方法二:

2023-08-02 16:48:28 1510 1

空空如也

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除