自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(5)
  • 收藏
  • 关注

原创 16 位线性进位选择加法器基于静态 CMOS 电路的实现

使用全加器或 G/P/CARRY/SUM 等逻辑并且全部采用静态CMOS电路实现16 位加法器,且进位采用线性进位选择实现。工艺采 0.35μm工艺库中 3.3V 晶体管并采用Hspice 进行仿真分析。

2024-07-09 23:26:21 648

原创 Hspice中Custom WaveView突然打不开的解决办法

Custom WaveView可能出现多次运行后,软件突然打不开的问题、解决方法如文中所述

2024-07-09 22:42:30 124

原创 (伪)随机时间生成模块(rand_num_module.v)

采用斐波拉契LFSR(线性反馈移位寄存器)原理实现,避免使用了random函数,当将随机数的seed载入后,在每个sys_clk上升沿会给出一个13 bits的二进制随机数(十进制范围为8191),等接收前一级的start_flg = 1的信号后再停止。由于设置了1ms为一个时钟周期长度,也就是我们的分辨率要求,所以该模块生成随机数需要在500~5000之间,也因此当得到的随机数如果不在范围内,则不给输出赋本次的值,保持前次的随机数,直到下一个符合要求的随机数作为输出,可保证在一定范围内的随机。

2024-07-06 18:19:01 689

原创 八段数码管显示模块(smg_disp_module.v)

动态扫描技术通过在不同的时间段内依次选择并驱动不同的数码管,以在有限的引脚数上显示多个数码管。具体来说,动态扫描技术会快速切换位选信号,将激活信号应用到一个数码管,而其他数码管保持关闭。然后,按照一定的刷新频率迅速切换到下一个数码管,并重复该过程。这样,通过快速的切换和持续的刷新,利用视觉暂留现象,观察者会感觉所有数码管同时显示。

2024-07-06 18:05:26 1111

原创 基于Vivado编译环境实现人体反应测试仪

通过Vivado软件进行人体反应测试仪设计,并通过下板实现进行展示。我一开始其实并不是很熟悉整个测试应该如何设计,不会的东西也有很多,比如如何验证,下板实现等等。通过ASIC课程的学习,我学到了很多内容,也掌握了数字设计的流程,有了一个从“0”到“1”,“不会”到“逐渐学会”的过程,令我受益匪浅。

2024-07-06 04:56:33 830 1

16 位线性进位选择加法器基于静态 CMOS 电路的实现

实现 16 位线性进位选择加法器基于静态 CMOS 电路的源代码与测试代码,包括基础逻辑门的源代码与测试代码

2024-07-09

custom-wavwview软件问题

custom-wavwview软件问题

2024-07-09

教学课件-模拟集成电路设计精粹.zip

清华大学出版社-模拟集成电路设计精粹桑森版课件PPT

2024-07-09

Design-For-Test Seminar

专用集成电路设计测试方法

2024-07-07

专用集成电路设计方法期末试卷

专用集成电路设计方法期末试卷

2024-07-07

基于Vivado编译环境实现人体反应测试仪

本项目是复旦大学课程设计,旨在基于Vivado编译环境实现人体反应测试仪,功能分为状态机转换模块、随机时间生成模块、反应时间计时器模块(ms级)和八段数码管显示模块,压缩包内含word/pdf版实验报告、verilog源代码以及各类实验报告文件。 项目主题: 使用TOP-DOWN流程设计一个人体反应测试仪,用4个8段LED作为显示,2个按键分别表示启动Start和反应按键React。 Start键表示开始测试,内部计数器开始计数,三个8段LED显示为四条横线“----”,接着随机时间(500ms到5S不等)之后变为四道竖线“||||”,被测试的人看到后快速按反应按键react,测试仪显示从开始变为“||||”到被测试人按反应按键的时间,即为被测试人的反应时间,该反应时间的分辨率为1ms。所以最大可测试时间为9999ms。但是注意,因为人体反应速度极限,如果此时间为负或小于人体最小反应时间(100ms),则测试为Fail(显示FAIL)。

2024-07-06

(伪)随机时间生成模块(rand-num-module.v)

(伪)随机时间生成模块源代码与测试文件

2024-07-06

八段数码管显示模块(smg-disp-module.v)

八段数码管动态显示模块源代码与测试文件

2024-07-06

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除