自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(3)
  • 资源 (2)
  • 收藏
  • 关注

转载 vi之列操作

1、对列插入步骤:      定位光标位置       ctrl+v进入visual-block模式(选定光标所在行对应的列)       j移动光标选择列编辑行(按shift+g移动到文件底部)       输入大写I       输入输入要插入的文本       esc       保存完成eg:文件内容如下:123455678967755hghgh......

2018-08-10 10:01:54 6191

转载 (转载)用VCS仿真Verilog时,多维数据显示not load问题及解决方案

问题描述: 在用Verilog声明二位数组时,比如   reg [15:0] data [0:9],表明声明10个16位数据。用VCS仿真生成vpd波形文件,然后用DVE查看这个信号时,显示not load,并没有数据信息。对modelsim仿真来说,并没有这个问题。解决方案:用 vcs -h 查看所有参数,发现如下所以用vpd格式保存信号时,在vcs后多加个 +memcbk参数,并在testbe...

2018-07-04 09:34:12 990

转载 VCS仿真生成fsdb文件(Verilog)

一、环境Linux 平台 csh环境VCS 64bitVerdi3二、开始仿真1、 联合仿真环境配置a.在testbench中加入如下语句:initial begin     $fsdbDumpfile("tb.fsdb");     $fsdbDumpvars;endb.注意verdi接口库的路径(脚本中体现)2、仿真脚本 1 #!/bin/csh -f 2  3 setenv NOVAS_HO...

2018-07-04 09:30:08 7595

verilog格式中断控制器

自己写的中断控制器,verilog格式的,支持输入为高低脉冲及高低电平,中断输出为高脉冲。

2011-03-30

glitch filter

自己写的数字芯片输入管脚的高低脉冲的数字滤波!可以同时滤除输入信号中低于设置宽度的高或低短脉冲信号!

2011-03-30

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除