同步电路和异步电路的区别

       摘自 何宾著《XilinxFPGA设计权威指南》P86~P91 

        同步电路和异步电路的区别在于电路触发是否与驱动时钟同步,从行为上讲,就是所有电路是否在同一时钟沿下同步地处理数据。

        同步复位和异步复位电路是同步电路和异步电路中两个典型的逻辑单元。在同步复位电路中,当复位信号有效时,必须要等到时钟沿有效时,才能处理复位信号相关逻辑行为;而在异步复位电路中,当复位信号有效时,立即处理复位信号相关逻辑行为。

        在实际的数字系统中,常存在多时钟源驱动多逻辑单元的情况。因此实际的数字系统应该是一个异步的系统。对于这样的系统,可以采用先局部同步处理,然后对全局异步单元加入同步化处理机制来实现。

下面给出异步复位的VerilogHDL描述:

always @(posedge clk or posedge RST)

if(RST)

  • 4
    点赞
  • 14
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值