在某段时间内,随机产生一个时间,包括时分秒

package com.bjpowernode.hibernate;

import java.text.SimpleDateFormat;
import java.util.Date;

import junit.framework.TestCase;

public class RondomDateTest extends TestCase{
	
	public void testRondomDate() {
		Date date = randomDate("2009-07-01","2009-09-01");
		System.out.println(new SimpleDateFormat("yyyy.MM.dd HH:mm:ss").format(date));
	}
	
	
	/**
	 * 获取随机日期
	 * @param beginDate 起始日期,格式为:yyyy-MM-dd
	 * @param endDate 结束日期,格式为:yyyy-MM-dd
	 * @return
	 */
	private static Date randomDate(String beginDate,String endDate){
		try {
			SimpleDateFormat format = new SimpleDateFormat("yyyy-MM-dd");
			Date start = format.parse(beginDate);
			Date end = format.parse(endDate);
			
			if(start.getTime() >= end.getTime()){
				return null;
			}
			
			long date = random(start.getTime(),end.getTime());
			
			return new Date(date);
		} catch (Exception e) {
			e.printStackTrace();
		}
		return null;
	}
	
	private static long random(long begin,long end){
		long rtn = begin + (long)(Math.random() * (end - begin));
		if(rtn == begin || rtn == end){
			return random(begin,end);
		}
		return rtn;
	}
}

  • 0
    点赞
  • 6
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
您是在问如何在Verilog中实现在一段时间随机触发的功能吗? 在Verilog中,您可以使用`$random`系统函数来生成随机数。结合使用`$time`系统函数可以获取当前的模拟时间。您可以通过比较模拟时间与预设的时间范围来触发某个事件。 以下是一个示例代码片段,展示了如何在一段时间随机触发一个事件: ```verilog module RandomTrigger ( input wire clk, output reg trigger ); reg [31:0] start_time; reg [31:0] current_time; reg [31:0] duration; // 触发的时间范围 initial begin start_time = $time; // 记录开始时间 duration = 100; // 设置触发的时间范围为100个时间单位 end always @(posedge clk) begin current_time = $time; // 获取当前时间 if (current_time - start_time >= duration) begin if ($random % 2 == 0) begin // 当前时间超过触发时间范围,并且随机数为偶数时触发 trigger <= 1'b1; end else begin trigger <= 1'b0; end end else begin trigger <= 1'b0; end end endmodule ``` 以上代码中,使用了一个时钟信号`clk`作为模拟时间的驱动,`trigger`是一个输出信号,用于表示是否触发事件。在`initial`块中,我们记录了开始时间和设置触发的时间范围。在`always @(posedge clk)`块中,通过比较当前时间与开始时间以及触发时间范围,来决定是否触发事件。同时,使用`$random`函数来生成随机数,并通过判断随机数的奇偶性来触发事件。 请注意,以上代码仅为示例,实际应用中可能需要根据具体需求进行修改。同时,Verilog中的随机数生成函数`$random`并不是真正的随机数生成器,它生成的数值是伪随机的。
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值