altera fpga 约束

本文详细介绍了Altera FPGA的时钟约束,包括基准时钟、虚拟时钟、随路时钟、PLL约束以及set_clock_groups等,强调了正确约束在确保时序正确性中的重要性。
摘要由CSDN通过智能技术生成

以下描述fpga约束,与asic可能有少量差异。

1)基准时钟create_clock  -add 多个晶振驱动一个时钟输入脚

2)虚拟时钟 create_clock

   作用:

     用于约束input 和output。虚拟时钟,它为外部寄存器提供时钟驱动源,对fpga内部不起作用。它的频率设置和fpga内部基准时钟一样的频率。

     写法和create_clock一样,只是没有get_ports。

3)随路时钟约束

   随路时钟,因为fpga内部接口使用的时钟和随路时钟,是一个。所以约束不能再使用虚拟时钟。否则,可能导致timing ,launch 和latch 不能正确识别。

4)pll 约束

  creat_generated_clocks 和drive_pll_clocks 两种方法

create_generated_clocks  

  • 0
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值