V0第7节 字符串类型

1. 字符串类型

  • Verilog语言对于字符串的处理手段非常有限
  • SV引入了string 类型用来容纳可变长度的字符串
  • 字符串类型变量的存储单元为byte类型
  • 字符串类型变量长度为N时,其字符成员索引值为从0到N-1
  • 不同于C语言,字符串结尾没有空字符 “\0"
  • 字符串的内存是动态分配的,用户无需担心内存空间管理

2. 字符串内建方法

  • str.len();返回字符串的长度
  • str.putc(i, c);将第i个字符替换为字符c,等同于str[i] = c
  • str.getc(i); 返回第i个字符
  • str.substr(i,j); 将从第i个字符到第j个字符的字符串返回
  • str.{atoi(), atohex(),atooct,atobin};将字符串转变为十进制,十六进制,八进制或者二进制数据
str = "123";
int i = str.aoti(); // assigns 123 to i
  • 所有与字符串相关的处理,都用字符串来保存和处理
  • 如何生成一个你想要的字符串呢? 可以使用SV系统方法 $sformatf(),如果你只需要将它打印输出,那么就使用$display()
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值