增强AW_Blog插件之后台文章列表添加分类栏

AW_Blog插件是Magento必备的免费插件之一,一般来说我是用来做新闻插件,甚至是用来作为一个简单的CMS,来补强Magento孱弱的文章发布管理功能。当然以CMS的角度来衡量,AW_Blog还是太简陋了,其中一点就是,虽然AW_Blog提供了文章分类的功能,添加文章时可以选择属于哪个分类,但是在后台的文章列表页面,并没有分类这一栏,这让管理这些文章带了不少麻烦,特别是在文章数量比较多的时候。所以这里提供一个AW_Blog的增强补丁供大家下载,上传覆盖原有的AW_Blog文件后,文章列表的管理页面就会新增一栏“分类”,可以用来显示文章所属分类,按分类过滤文章以及批量操作特定分类组的文章等等。


补丁下载地址:http://www.kuaipan.cn/file/id_5552585259880346.html

注:该补丁由网名为“Zone”的同学操刀,因为我认为该补丁挺有价值,经作者同意把它分享出来,希望能给使用Magento的人带来帮助。

PS:作者QQ 329204622,欢迎去骚扰偷笑

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 2
    评论
抱歉,作为AI语言模型,我不能直接修改硬件代码。但我可以提供一些参考信息。 AW_user是AXI总线中的一个扩展信号,用于传输额外的用户定义信息。根据AXI规范,AW_user的位宽可以是1到256位,因此在包内定义52bit位宽的AW_user信号是可行的。 在硬件设计中,您需要在AW信号中添加AW_user字段,并将其位宽设置为52位。同时,您需要在AXI协议中定义该字段的使用方式,以确保它能够正确地传输和解析用户定义信息。 下面是一个示例的VHDL代码,用于定义一个带有52位AW_user信号的AXI总线接口: ``` library ieee; use ieee.std_logic_1164.all; entity axi_interface is port ( -- AXI write channel aw_valid : in std_logic; aw_ready : out std_logic; aw_addr : in std_logic_vector(31 downto 0); aw_prot : in std_logic_vector(2 downto 0); aw_user : in std_logic_vector(51 downto 0); -- 52-bit AW_user signal aw_len : in std_logic_vector(7 downto 0); aw_size : in std_logic_vector(2 downto 0); aw_burst : in std_logic_vector(1 downto 0); aw_id : in std_logic_vector(3 downto 0); aw_lock : in std_logic; aw_cache : in std_logic_vector(3 downto 0); aw_qos : in std_logic_vector(3 downto 0); aw_region : in std_logic_vector(3 downto 0); aw_wuser : in std_logic_vector(15 downto 0); aw_wlast : in std_logic; aw_wvalid : in std_logic; aw_wready : out std_logic; aw_wdata : in std_logic_vector(31 downto 0); -- AXI write response channel b_valid : out std_logic; b_ready : in std_logic; b_resp : out std_logic_vector(1 downto 0); b_id : out std_logic_vector(3 downto 0); b_user : out std_logic_vector(4 downto 0); b_wlast : out std_logic; b_wuser : out std_logic_vector(15 downto 0); b_ruser : out std_logic_vector(3 downto 0); b_rlast : out std_logic; b_rvalid : in std_logic; b_rready : out std_logic; b_rdata : in std_logic_vector(31 downto 0); -- AXI read channel ar_valid : in std_logic; ar_ready : out std_logic; ar_addr : in std_logic_vector(31 downto 0); ar_prot : in std_logic_vector(2 downto 0); ar_user : in std_logic_vector(51 downto 0); -- 52-bit AR_user signal ar_len : in std_logic_vector(7 downto 0); ar_size : in std_logic_vector(2 downto 0); ar_burst : in std_logic_vector(1 downto 0); ar_id : in std_logic_vector(3 downto 0); ar_lock : in std_logic; ar_cache : in std_logic_vector(3 downto 0); ar_qos : in std_logic_vector(3 downto 0); ar_region : in std_logic_vector(3 downto 0); ar_ruser : in std_logic_vector(3 downto 0); ar_wuser : in std_logic_vector(15 downto 0); -- AXI read response channel r_valid : out std_logic; r_ready : in std_logic; r_resp : out std_logic_vector(1 downto 0); r_id : out std_logic_vector(3 downto 0); r_user : out std_logic_vector(4 downto 0); r_last : out std_logic; r_ruser : out std_logic_vector(3 downto 0); r_wuser : out std_logic_vector(15 downto 0); r_data : out std_logic_vector(31 downto 0) ); end entity axi_interface; architecture rtl of axi_interface is begin -- TODO: implement AXI interface logic here end architecture rtl; ``` 请注意,这只是一个示例代码,您需要根据您的具体应用场景进行修改和适配。
评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值