自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(57)
  • 资源 (3)
  • 收藏
  • 关注

原创 安装HFSS2021R1版本报错Failover feature ‘ANSYS electronics_desktop‘ is not available. 解决方法

工作需要在WIN11系统上安装HFSS2021R1版本,但在此之前装了HFSS2015版的,报这个错本来以为是版本不兼容问题,就把15卸载了重新安装21的还是不行,各种百度最后找到这个解决方法,一试就灵了。

2023-12-01 13:11:23 2337

原创 non-manifold edges found for part“shell“

2023-10-23 22:54:11 1200

原创 lambda refinement for body RadiationSurface might reach

经过查阅资料和思考,发现是RadiationSurface的网格分的太大导致的,应该让网格单元的长度必须小于 1/3 个波长。HFSS2021R1仿真时遇到此问题,百度时看到如下解答,但是因为内存不够的原因。

2023-10-16 21:42:50 461

原创 三等分功分器[波导]设计详细教程

想必大家通过阅读相关文献可以发现三等分实现可以有很多不同的方法,这里采用的是先不等分再等分的方式,仅供参考。

2023-10-01 21:46:53 1402

原创 【微波实验1】 T形波导的内场分析及优化设计

同时,在Offset=0.08英寸时,端口3的输出功率为0.60,端口2的输出功率略大于0.3,此处端口3的输出功率约为端口2输出功率的两倍。复制长方体:展开绘图历史树的 Model\Vacuum\Tee节点,右键点击Tee项,选择 Edit\Duplicate\Around Axis,在弹出对话窗的Axis项选择Z,在Angel项输入90deg,在 Total Number 项输入2,点OK,则复制、添加一个长方体,默认名为TEE_1。其中,波导的端口1是信号输入端口,端口2和端口3是信号输出端口。

2023-06-05 10:09:06 2547

原创 HFSS:Com Engine non-responsive

HFSS仿真如果遇到以上问题,关掉软件重新打开即可,大概率是因为建模后断网再重连导致和license的网络的连接断开,不是CPU或外存不够等问题。

2023-04-11 11:08:09 2302 1

原创 太赫兹频段耦合器设计相关经验总结

4.各分支线中心间距设置为 λg/4 = 0.352mm,初始结构中的分支线长度与分支线中心间距保持一致 l = 0.312mm。但上述a、b是比例系数,具体的物理尺寸还需要乘以短边的长度得到,从而得到两侧波导和中间波导的具体物理尺寸大小。此处贴一张不同频段对应的波导尺寸图 需要注意的是1英寸= 2.54厘米,需注意换算。对于等宽结构,根据本人的调试经验,取ac的平均数作为比例系数即可。1拿到耦合器的频段后,确定中心频率和波导的宽度和高度。对于七分支的也有计算:a=,b=,絮絮叨叨一些读文献的时候的理解。

2023-03-03 22:43:42 969 1

原创 HFSS数据后处理时记忆了修改的参数值解决方法

再选择对应Variable一行中edit下面的三点,取消Use all values 前面的对号就解决了。双击rusults下面的dB(S(1,1)),出现如下对话框,依次点击families,

2023-01-18 20:48:44 892

原创 Matlab辅助计算波导带通滤波器初值

疫情原因 没有考试就放假回家了 返校后将先进行死亡考试周 七天考完九门 回校再进行极限复习只能说可以通过 而不利于绩点的提升 所以要从现在开始抽取一些时间进行学习。

2023-01-08 16:14:00 602 1

转载 word因导入mathtype不能使用复制粘贴快捷键的解决方法

当word中的复制粘贴快捷键(CTRL+C和CTRL+V)不能用的时候是因为你安装的原office中的MathType Commands 2016.dotm或者带有Commands 某某.dotm这个文件导致的,系统自带的这个文件在加载的时候会显示出如下的不能用的状态。这时候需要点击word中文件----选项-----加载项-----如图看清Commands 某某.dotm文件,找到office自带的这个文件路径,把里面的文件删掉(路径中有office,路径里没有的不要删)。

2022-12-31 19:07:46 3287

原创 HFSS低版本如何打开高版本的文件

因工作需要重新在另一台电脑上安装HFSS,但ansys这个公司,命名不好好按年份命名,我装的18.2,结果是2017版本的,但我之前安装的是2018版本的,建模也都是2018版本的,所以低版本打不开高版本的。2.把高版本Version括号里的(2018,2)修改成(2017.2);Product如果不一样的话把高版本的修改成低版本的,保持一致。注:如果是以aedt命名的话,需要修改成hfss后缀。找到begin和end,把之间的代码删掉。1.分别记事本打开两个版本的建模文件。5.右击选择低版本打开。

2022-12-30 17:56:00 9736 13

原创 双线性变换法MATLAB实现巴特沃斯低通滤波器

然后使用buttap()函数求得极点、零点和增益,使用zp2tf()函数,将已知的极、零点和增益转换为传递函数的形式,之后使用lp2lp()函数将截止频率为1rad/s的模拟低通滤波器变换成截止频率为wap的模拟低通滤波器:最后使用bilinear()函数,根据双线性变换法将模拟滤波器转为数字滤波器,最后使用freqz()函数求得离散系统的频响特性。本次实验主要掌握了如何用MATLAB实现低通滤波器,实验过程中要注意数字角频率和模拟角频率的转化,并且采用双线性变换法将模拟滤波器转化为数字滤波器。

2022-12-19 05:15:00 5685 1

原创 MATLAB实现希尔伯特变换以及FFT补零分析

(2)正弦信号进行希尔伯特变换后即为余弦信号,但因为只采了25个点的数据,所以转换后的信号波形失真较严重,多采一些点后波形明显有改观,如图1所示。(1)本节实验课学习了如何用MATLAB进行信号的希尔伯特变换,对信号做FFT运算、并对其进行频谱分析,以及如何进行补零分析。(2)是两个正弦信号及其白噪声的叠加,试用FFT对其做频谱分析,并对其补零分析2N、3N长度的FFT效果。(2)是两个正弦信号及其白噪声的叠加,试用FFT对其做频谱分析,并对其补零分析2N、3N长度的FFT效果。

2022-12-05 03:45:00 2548 4

原创 切比雪夫1到10阶多项式,太有用了码一下

本节实验课掌握了如何编写计算离散信道容量的程序,也了解了什么是迭代计算精度,激发了我进一步学习信息论这门课程理论部分的兴趣。一开始不知道迭代计算精度是什么意思,在CSDN上查阅相关博客后,了解到迭代计算精度是类似于效率的一个名词,所以其取值必定小于1.实验内容与完成情况(记录实验内容、操作步骤、实验结果等,包括系统输出的错误信息,以截图等方式记录实验结果)遇到的问题及解决方法(若没有找到解决方法,就仅列出问题)因为b是随机数,所以每次运行后的结果并不相同。离散信道容量的计算方法设计。

2022-11-30 23:45:00 527 3

原创 现代气象仪器 | 太阳辐射测量

2.暗筒式(乔唐式)日照计:主体为一金属圆筒,筒的一端密闭,一端有盖,筒的上部有一块隔光板,筒身上在隔光板的两侧边缘的同一垂直面上,各有一个圆锥形进光孔,两孔前后位置错开,与圆心的夹角为120°。筒内有一弹性压纸夹,用以固定日照纸,圆筒下部有固定螺丝,松开后,圆筒可绕支架旋转,支架下部 有纬度刻度盘与指示纬度的刻度线,仪器底座上有三个等距离的孔,用以固定仪器。记录整理:在每天日落后换下的日照纸,应依感光迹线的长短,在其下描画铅笔线,并按铅笔线计算各时的日照时数,以十分法记录,准确到小数一位。

2022-11-24 18:00:00 1120

原创 数字信号处理 | 实验二 MATLAB z换和z逆变换分析+求解差分方程+求解单位冲击响应+求解幅频相频特性曲线+求解零极点

(1)掌握离散时间信号的z变换和z逆变换分析(2)掌握MATLAB中利用filter函数求解差分方程;(3)掌握MATLAB中利用impz函数求解单位冲击响应h(n);(4)掌握MATLAB中利用freqz函数求解幅频特性曲线和相频特性曲线;(5)掌握MATLAB中利用zplane函数求解零极点;

2022-11-21 00:45:00 14635

原创 通信原理 | 实验一 调制信号MATLAB实现

调制信号MATLAB实现

2022-11-10 20:29:17 2433

原创 数字信号处理 | 实验一 常用离散时间信号+计算卷积+绘图函数

常用离散时间信号+计算卷积+绘图函数

2022-11-10 19:42:10 1358

原创 python期末试卷及答案B卷

1. 以下关于计算机和程序设计的说法错误的是( )。A. 程序设计语言可分为机器语言、汇编语言和高级语言 3 个大类B. 解释是将源代码逐条转换成目标代码,同时逐条执行目标代码的过程C. IPO 方法是一种按输入数据、处理数据和输出数据的程序运算模式D. Python 是一种静态语言2. 下面不符合 Python 语言命名规则的变量名是( )。A. 姓名 B. except C. python_is_good D. For3.下列表达式值为 False 的是( )。A. 5+4j > 2-3j B. 3 >

2022-07-04 22:22:54 9686 1

原创 python期末考试试卷及解析

1. 以下关于计算机和程序设计的说法错误的是( )。A. 高级编程语言按照计算机执行方式可分为静态语言和脚本语言B. 编译是将源代码转换成目标代码的过程C. IPO 方法是一种按输入数据、处理数据和输出数据的程序运算模式D. Python 3.x 能够向下兼容 2.x 系列程序2. 下面不符合 Python 语言命名规则的变量名是( )。A. monthly B. monthly3 C. 3monthly D. _Monthly3_3.下列表达式值为 True 的是( )。A. 5+4j > 2-3j B.

2022-07-04 22:10:37 35262 5

原创 南信大2020-2021第一学期FPGA/CPLD期末试卷

期末试卷

2022-06-20 17:12:02 4250 1

原创 vivado仿真注意事项总结

路漫漫其修远兮,吾将上下而求索和香香一起研究了6个多小时,能踩的雷几乎全踩了一遍,各种报错全见了一遍,不得不说vivado真是个娇气的软件啊,错一步就要从新建工程开始。现将一些注意事项总结如下:1.工程应当建立在安装目录下,如果没有建立在安装目录下,则应该在仿真文件里面指向绝对路径(属性的里面单反斜杠改为双反斜杠;2.多个模块使用同一个测试文件的时候,要注意将后来测试的模块设置为顶层文件,不然一直仿的就是同一个模块的;3.新建模块文件时,要先写好,再保存,再设为顶层文件,顺序错一点直接毙掉;4

2022-05-28 22:15:11 2082

原创 【Python实验报告2】嵩天版本第2版 高等教育出版社

目录1.温度转化2.赋值函数3.eval()函数4.print()函数5.函数封装6.Python蟒蛇的绘制程序练习题2.2 汇率兑换程序2.3 彩色蟒蛇的绘制2.5 叠加三角形的绘制2.6 无角正方形绘制2.7 六边形绘制2.8 正方形螺旋线的绘制1.温度转化2.赋值函数3.eval()函数(1)eval(<字符串>)可以以表达式的方式解析并执行字符串。(2)eval(<字符串>)可以将输入的.

2022-04-10 21:58:51 1535

原创 小蜜蜂老师【PCF8591和AT24C02综合】

#include"reg52.h"#include"iic.h"sbit S4=P3^3;sbit S5=P3^2;unsigned char code SMG_duanma[]={0xc0,0xf9,0xa4,0xb0,0x99,0x92,0x82,0xf8,0x80,0x90,0xbf,0xff};unsigned int adc_value=0;float adc_volt=0;unsigned int smg_volt=0;unsigned char stat_led=...

2022-04-08 16:19:59 739 2

原创 小蜜蜂老师【基于PCF8951的电压信号探测器】

关于PCF8951模块,有一点需要指出,IIC_SendByte(0x03);和IIC_SendByte(0x43);0x43是打开了模拟输出,如果用到DAC最好写0x43,不然可能误关开关如果只需要进行DAC的话,写0x03就ok了什么时候用到ADC呢,要把电压值显示在数码管上的时候什么时候用到DAC呢,要有模拟电压输出的时候,这个是用万用表进行测量的#include"reg52.h"#include"iic.h"sbit S4=P3^3;sbit S5=...

2022-04-08 15:50:02 1701 3

原创 蓝桥杯单片机省赛第七届(已验证没有任何问题)

细节问题:1.读取温度,MSB<<8,不是MSB<<42.HC138(4)打开控制LED的端口,不要写成HC138(0)3.count最好是int型,不然可能不够计数4.赋值是赋给P0,不是P2啊啊啊啊救命,竟然半小时才检查出来太丢人了#include"reg52.h"#include"onewire.h"sbit S7=P3^0;sbit S6=P3^1;sbit S5=P3^2;sbit S4=P3^3;unsigned char c.

2022-04-07 20:22:22 590

原创 蓝桥杯单片机省赛第六届(测试过没有任何问题)

不知道复习啥,敲敲往年代码吧,随缘敲到第几届,从第六届开始,小问题还是存在的,想要完美还是要细心呀!#include"reg52.h"#include"ds1302.h"#include"onewire.h"sbit S7=P3^0;sbit S6=P3^1;sbit S5=P3^2;sbit S4=P3^3;sbit L1=P0^0;unsigned char code SMG_duanma[]={0xc0,0xf9,0xa4,0xb0,0x99,0x92,0x82,0xf8,.

2022-04-07 17:08:46 916

原创 备战蓝桥杯单片机倒数第四天 小蜜蜂老师公众号更新内容

揽江楼一楼在装修夜里熬到了两点左右,早上九点多起来,状态比较低迷,有点困的状态持续到了现在14:48,发现小蜜蜂老师的微信公众号进行了一波更新,而且基本上都是凌晨更新的,有被激励到,来了点工作的感觉(其实也是因为迷糊够了醒困了),废话不多说,把相关内容整理整理【进阶01】灯光闪烁与数码管计数这个主要是解决LED和数码管冲突问题,对锁存器初始化的写法加上了所有锁存器都不选择的代码,更好的可以避免不同操作之间的影响,并且先将要赋的值送到端口然后在打开锁存器特训案例【进阶02】长按与短按控制数码管

2022-04-05 17:30:07 4046 3

原创 备战蓝桥杯单片机倒数第五天 各个模块

今天去白嫖了学校发的一块板子,把常用模块的代码敲一遍再熟悉一下关于BCD码加减那里是借鉴了博主自由学者亻伊宸使用P4端口的话要定义一下(使用reg52.h头文件的话)P4=0xc0;unsigned char duanma[10] = {0xc0,0xf9,0xa4,0xb0,0x99,0x92,0x82,0xf8,0x80,0x90};unsigned char duanmadot[10] = {0x40,0x79,0x24,0x30,0x19,0x12,0x02,0x78,0x0

2022-04-04 23:21:18 1209

原创 备战蓝桥杯单片机倒数第六天 省赛题第八届到第十二届客观题

感觉我是鱼的记忆吧。。。模电数电明明刚考完两个多月,我就全忘光了,考的一些很基础的东西我几乎全忘了。。。相比之下数电记得还稍微多一点内容来自小蜜蜂老师关于“蓝桥杯单片机省赛”客观题的解析,我只摘了自己不会的地方单片机部分不会的很多,这就是上课不认真听的后果,待我把信号与系统补完就开始补微机原理还有几届等我找到题目再补充目录单片机模电数电单片机IAP15F2K61S2 单片机的定时器 0 具有__4__种工作模式,当采用外部 12MHz 晶振时,定时器最大定时长度为__65

2022-04-03 13:52:44 2226 2

原创 省赛题第六届到第十二届单独分析

第十一届没写完,现在是北京时间二十二点十三分,距离揽江楼关门还有十七分钟,我要收拾书包从五楼下去,明天早起写完,写了差不多五个小时吧废话先巴拉巴拉几句题外话,简单梳理一下最近的state.时隔一个多月重新开始准备比赛,三月一直在忙别的事情,申报大创课题啃了一堆文献,申请常青藤奖学金,参加电赛培训,参加高中英语教资的考试,看综艺《黑怕女孩》,磕喜欢的女rapper的cp,试录rap的demo cover,哈利波特新赛季上分等等等等,反正比赛基本上一整个没准备的状态,然后学校的课程也全落下来了哈哈哈

2022-04-02 22:16:21 7763 4

原创 蓝桥杯单片机组第十一届省赛——电压测量

实现代码:#include"reg52.h"#include"iic.h"sfr P4=0xc0;sbit H1=P3^0;sbit H2=P3^1;sbit H3=P3^2;sbit H4=P3^3;sbit L1=P3^4;sbit L2=P3^5;sbit L3=P4^2;sbit L4=P4^4;sbit LED1=P0^0;sbit LED2=P0^1;sbit LED3=P0^2;sbit LED4=P0^3;unsigned char Rb2=0,Vp

2022-02-18 20:33:51 892 1

原创 【网络技术题库梳理12】计算机网络环境及应用系统的安装与调试

WWW服务器配置网站性能选项中,带宽限制选项限制该网站的可使用带宽,以控制该站点允许的流量 网站性能选项中,网站连接选项可设置客户端web连接数量(不是通过访问列表限制的) 网站性能选项中,可设置影响带宽使用的属性和客户端web连接的数量和超时时间 目录安全选项可选择配置身份验证和访问控制、ip地址和域名限制、安全通信 网站选项可设置网站的标识,并可启用日志记录 设置web站点时,若不默认页面,访问时需要提供首页内容的文件名 网站的连接超时选项指的是http连接的保持时间 可配置动态ip地

2022-02-16 14:43:40 1685

原创 【蓝桥杯单片机笔记4】中断系统与外部中断系统应用

内容来自~中断系统外部中断0 INT0 、0(中断号)定时计数器0 TF0、1外部中断1 INT1、2定时计数器1 TF1、3串口中断 RI/TI、4与中断相关的寄存器​​中断系统结构​中断函数编写规则1.相关配置初始化 2.中断功能执行函数中断函数框架void 函数名() interrupt 中断号{}中断的优先级:外部中断0 > 定时/计数器0 >外部中断1 > 定时/计数器1 >串口中断题目要求:...

2022-02-11 20:31:53 684

原创 【蓝桥杯单片机笔记2】数码管静态&动态显示

内容来自小蜜蜂老师~静态显示题目要求:代码#include"reg52.h"unsigned char code SMG_Duan[] = {0xC0,0xF9,0xA4,0xB0,0x99,0x92,0x82,0xF8,0x80,0x90,0x88,0x83,0xC6,0xA1,0x86,0x8E};void Delay(unsigned int t){ while(t--); while(t--);}void HC138(unsigned char n

2022-02-11 13:08:14 602

原创 【蓝桥杯单片机笔记6】PWM脉宽调制信号

利用PWM脉宽信号实现独立按键S7控制对L1指示灯亮度变化的控制。PWM脉宽信号的频率为100HZ 系统上电后L1处于熄灭状态 L1上电有四种亮度模式,分别是完全熄灭、10%亮度、50%亮度、90%亮度 按下S7按键,循环切换L1指示灯的四种亮度模式#include "reg52.h"sbit L1 = P0^0;sbit S7 = P3^0;void SelectHC573()/*74HC573锁存器*/{ P2 = (P2 & 0x1f) | 0x80;}//=

2022-02-05 09:15:00 1707

原创 【蓝桥杯单片机学习记录10】555定时器与频率测量

测量NE555产生方波频率时,用跳帽将J13中的NAL与P34短接,NE555发生的信号输入到单片机的P34引脚,即单片机的T0引脚,该信号的频率大小可以通过Rb3可调电位器改变代码: #include "reg52.h"#include "absacc.h" unsigned char code SMG_DM_NoDot[18]= {0xc0,0xf9,0xa4,0xb0,0x99,0x92,0x82,0xf8,0x80,0x90, 0x88,0x80,0xc6,0xc0,0x8

2022-02-04 15:30:00 1109

原创 【蓝桥杯单片机学习记录9】DS1302时钟

可以把DS1302理解为一个一个电子手表,里面带有一个31字节的RAM内存DS1302里面有两块存储器,日历时钟寄存器(记录实时时间)和静态RAM存储器(记录其他数据)控制字格式与数据定义控制字的格式BIT7 BIT6 BIT5 BIT4 BIT3 BIT2 BIT1 BIT01 1:...

2022-02-04 08:15:00 823

原创 【蓝桥杯单片机学习记录8】C语言中的头文件与模块化设计

针对上一篇文章的主程序,如果想把和数码管有关的单独放在一个文件里应该怎么做呢?要写一个.c文件和一个.h文件,名字要一样,只是后缀不一样.c文件把主程序里关于数码管的相关代码剪贴到.c里#include "absacc.h"void delaySMG(unsigned int t){ while(t--);}void displaySMGbit(unsigned char pos,unsigned char dat) //第pos个数码管显示数字dat{ XBYTE[

2022-02-03 13:10:10 1186

原创 【蓝桥杯单片机学习记录7】DS18B20的温度转换与读取流程

DS18B20的温度转换与读取流程DS18B20复位 写入字节0xcc,跳过ROM指令 写入字节0x44,开始温度转换 延时700~900ms DS18B20复位 写入字节0xcc,跳过ROM指令 写入字节0xbe,读取高速暂存器 读取暂存器的第0字节,即温度数据的LSB 读取暂存器的第1字节,即温度数据的MSB DS18B20复位。表示读取数据结束 将LSB和MSB整合成一个16位数据 判断读取结果的符号,进行正负温度的数据处理DS18B20的数据处理以16位带符号位扩展的

2022-02-03 12:33:52 9555 3

南信大电信专业选修课labview【全套PPT+7个作业源程序+7个实验的实验报告】

南信大电信专业选修课labview【全套PPT+7个作业源程序+7个实验的实验报告】

2023-06-21

南信大电信专业气象传感器相关复习材料【教材+全套上课PPT+课后习题答案+题库】

南信大电信专业气象传感器相关复习材料【教材+全套上课PPT+课后习题答案+题库】

2023-06-21

南信大电信专业机器学习人工智能课相关复习材料【教材+全套PPT+修正版题库】

南信大电信专业机器学习人工智能课相关复习材料【教材+全套PPT+修正版题库】

2023-06-21

南信大电信专业机器学习实验报告共八个实验,全部完成,有图有分析

南信大电信专业机器学习实验报告共八个实验,全部完成,有图有分析

2023-06-21

南信大电信专业HFSS实验及传输线测量实验的实验报告+实验源程序,加分项也做了,绝对物超所值,电科专业也可参考,实验都是一样的

南信大电信专业HFSS实验及传输线测量实验的实验报告+实验源程序,加分项也做了,绝对物超所值,电科专业也可参考,实验都是一样的。 实验一:T型波导的设计与优化(包括加分项) 实验二:分支定向耦合器设计与优化(包括加分项) 实验三:威尔金森功分器(微带线的) 实验四:传输线终端短路、开路和接匹配负载三种情况下的电压值测量及分析

2023-06-21

南信大电信专业微波技术基础相关复习材料【课件+作业及答案+复习要点+教材+笔记】绝对物超所值

七次作业及答案+微波考研真题作业+全套上课使用课件+期末考试复习要点+5本教材+笔记,绝对物超所值,适合电信专业同学,电科同学也可参考,压缩包里也有电科专业的相关材料

2023-06-21

labview实验报告 可调信号源和计算三数平均数

labview实验报告 可调信号源和计算三数平均数

2023-03-07

南信大数字信号处理实验报告,电子信息工程平台课

南信大数字信号处理实验报告,电子信息工程平台课

2022-12-19

电子测量技术实验报告,四次实验手写扫描版(示波器测量I 示波器测量II 阻抗测量 电压测量)

入股不亏,数据都是真实测量做的

2022-12-15

信息安全技术实验报告一

信息安全技术实验报告一

2022-11-27

实验4 离散信道容量计算.doc

实验4 离散信道容量计算.doc

2022-11-25

2022-2023-1《数字电子技术》期中考试试卷答案

2022-2023-1《数字电子技术》期中考试试卷答案

2022-11-23

2022-2023-1《数字电子技术》期中考试试卷

2022-2023-1《数字电子技术》期中考试试卷

2022-11-23

FPGA课程课件——可复习用

FPGA课程课件——可复习用

2022-07-04

信大往年数电期末试卷及解析

每套题都有自己写的解析,还有部分方法总结。电信类平台课,期末卷子都一样,入股不亏,快速掌握期末技巧。

2022-01-28

南信大电信院数电实验报告

最后得分94分,每篇实验报告均95+

2022-01-28

南信大电信院电路基础实验报告

这门课最后得分90+,每篇实验报告都在90以上

2022-01-28

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除