Windows Visual Studio 2017 下搭建SystemC环境

Windows Visual Studio 2017 下搭建SystemC环境

最近准备学SystemC,想先在windows下简单学习一下,日后再考虑在Linux下操作。之前在网上找了些教程,都是较早的版本,在这里我们使用
软件平台:Visual Studio 2017
SystemC库版本:SystemC 2.3.3

Visual Studio 2017 安装

VS安装教程很多,这里不再详述,下载链接:VS2017

SystemC 下载

SystemC库的源码是可以直接下载到的,下载链接:SC,链接里有各个版本的源代码,在这里我们用目前最新的2.3.3版本。

编译库文件

安装好VS2017后,首先把下载好的解压,在这里我放在C盘根目录下,在VS中打开C:\systemc-2.3.3\msvc10\SystemC下的SystemC.sln,点击生成开始编译。
对SystemC进行生成
编译好之后会提示你编译成功,至于中间出现的warning可以忽略,此时你可以在C:\systemc-2.3.3\msvc10\SystemC\Debug文件下找到编译完成的库。
库

项目配置

新建名为CSDN的控制台项目,
在这里插入图片描述
右击CSDN打开属性页,
属性页
接下来做如下设置:
C/C++:

  1. 常规>>附加包含目录:添加 C:\systemc-2.3.3\src 项
  2. 语言>>启用运行时类型信息:选择“是”
  3. 命令行>>添加 /vmg /D_CRT_SECURE_NO_DEPRECATE

链接器:

  1. 常规>>附加库目录:添加 C:\systemc-2.3.3\msvc10\SystemC\Debug 项
  2. 输入>>附加依赖项:添加 SystemC.lib

注意:之前版本的教程中一般都会修改 C/C++>>常规>>代码生成>>多线程调试 DLL (/MDd),将其修改为多线程调试 (/MTd),事实上这样修改是会导致程序调试失败,这是该问题在accellera论坛上的链接 accellera forums

以上,项目配置就基本完成了,不过每次你创建SystemC项目都要作如上配置比较麻烦,所以建议用如下方法一劳永逸,打开视图>>属性管理器,在左侧窗口会出现如下窗口,打开Microsoft.Cpp.Win32.user,对其做以上项目配置即可。
属性管理器

环境测试

环境搭好后,在这里我们写一段简单程序进行测试:
hello.h

//hello.h
#ifndef  _HELLO_H
#define _HELLO_H
#include "systemc.h"

SC_MODULE(hello)
{
  SC_CTOR(hello) 
  { 
    cout << "Hello,CSDN !!!" << endl;
  }
};
#endif

hello.cpp

//hello.cpp
#include "hello.h"
int sc_main(int argc, char * argv[])
{
  hello  h("hello");
  return 0;
}

注意 在调试前应该将hello.cpp的预编译头选项修改为如下图所示:
预编译头选项
开始调试,测试结果如下:
结果
以上就是环境的完整搭建过程,本人刚开始学习SystemC,欢迎交流!

  • 11
    点赞
  • 41
    收藏
    觉得还不错? 一键收藏
  • 24
    评论
SystemC是一种用于硬件和软件系统建模与验证的开发工具。它基于C++语言开发,并提供了一套库函数和类库,用于建立系统级别的模型,包括通信协议、数字信号处理、嵌入式系统等。 相较于其他编程语言,SystemC因其特定于硬件设计的特性而备受关注。它能够提供比较精确的硬件行为模型,因此在硬件验证和调试方面具有较高的效率和准确性。此外,SystemC还支持多线程的并发控制,能够模拟硬件设计中的并行性,更好地满足系统级模型的需求。 对于初学者来说,SystemC的学习曲线可能较陡,因为其需要掌握C++语言和系统级建模的理念。然而,一旦掌握了其基本原理,SystemC能够大大提高硬件系统的设计效率和可靠性。 现在,有许多关于SystemC的电子书可供读者学习和参考。这些电子书涵盖了SystemC的基础知识与应用技巧,可以帮助读者快速掌握SystemC的核心概念和使用方法。同时,这些电子书还提供了丰富的案例和实例,方便读者进行实际的练习和实验。有些电子书还会提供一些SystemC的高级主题,如高层次综合、虚拟原型设计等,帮助读者在实际工程中应用SystemC进行系统级建模与验证。 总之,SystemC电子书为读者提供了学习和实践SystemC的机会,帮助他们掌握这一强大的硬件系统建模与验证工具。读者可以通过电子书来学习SystemC的基础知识,并通过实例和案例来深入理解其应用。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 24
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值