有限状态机

1      定义

有限状态机(finite-state machine, FSM),又称有限状态自动机,简称状态机,是表示有限个状态以及在这些状态之间的转移和动作等行为的数学模型。

2      概念和术语

状态存储关于过去的信息,就是说:它反映从系统开始到现在时刻的输入变化。

转移指示状态变更,并且用必须满足来确使转移发生的条件来描述它。

动作是在给定时刻要进行的活动的描述。有多种类型的动作:

l  进入动作:在进入状态时进行

l  退出动作:在退出状态时进行

l  输入动作:依赖于当前状态和输入条件进行

l  转移动作:在进行特定转移时进行

 

图1 开关门状态图

 

FSM(有限状态机)可以使用上面图 1 那样的状态图来表示,此外还可以使用多种类型的状态转移表。下面展示最常见的表示:当前状态(B)和条件(Y)的组合指示出下一个状态(C)。完整的动作信息可以只使用脚注来增加。

状态转移表

当前状态 →
条件 ↓

状态 A

状态 B

状态 C

条件 X

条件 Y

状态 C

条件 Z

 

除了建模这里介绍的反应系统之外,有限状态自动机在很多不同领域中是重要的,包括电子工程语言学计算机科学哲学生物学数学逻辑学。有限状态机是在自动机理论计算理论中研究的一类自动机。在计算机科学中,有限状态机被广泛用于建模应用行为、硬件电路系统设计、软件工程,编译器、网络协议、和计算与语言的研究。

3      分类

有限状态机有两个不同的群组:接受器/识别器和变换器。

3.1    接受器和识别器

图2 接受器 FSM:解析单词"nice"

 

接受器识别器(也叫做序列检测器)产生一个二元输出,说要么“是”要么“否”来回答输入是否被机器接受。所有FSM的状态被称为要么接受要么不接受。在所有输入都被处理了的时候,如果当前状态是接受状态,输入被接受,否则被拒绝。图2中的例子展示了接受单词 "nice"的有限状态机。

 

3.1.1  开始状态

开始状态通常用“没有起点的箭头”指向它来表示,如图3左边的圆。

3.1.2  接受状态

接受状态是机器符合了设定条件后的状态,它通常表示为双重圆圈。如图3左边的圆,它确定二进制输入是否包含偶数个 0,S1(它也是开始状态)指示已经输入了偶数个0的状态。

图3一个检测二进制数具有奇数或者偶数个0的状态机

3.2    变换器

变换器基于当前状态和输入生成输出。它们用于控制应用。常分为两种类型:Moore机和Mealy机。

3.2.1  Moore机

摩尔型有限状态机Moore machine)是只使用进入动作的有限状态机,就是说输出只依赖于状态。Moore 模型的好处是行为的简单性。

图1的例子展示了一个电梯门的Moore机。这个状态机识别两个命令:“command_open”和“command_close”。在状态“Opening”中的进入动作 (E: Opendoor)开启电机开门,在状态“Closing”中的进入动作以反方向开启电机关门。它们通知外部世界(比如其他状态机)情况:“门开着”或“门关着”。

3.2.2  Mealy机

米利型有限状态机Mealy machine)是基于它的当前状态和输入生成输出的有限状态自动机(更精确的叫有限状态变换器)。与输出只依赖于机器当前状态的摩尔有限状态机不同,它的输出与当前状态和输入都有关。

图4 变换器FSM:Mealy 模型例子

 

只使用输入动作的FSM,就是说输出依赖于输入和状态。Mealy机的使用经常导致状态数目的简约。在图4中的例子展示了实现同上面 Moore 机同样行为的Mealy 机。有两个输入动作(I:):“开启电机关门如果 command_close 下达”和“反向开启电机开门如果 command_open 下达”。

  • 2
    点赞
  • 4
    收藏
    觉得还不错? 一键收藏
  • 2
    评论
利用 VHDL 设计的许多实用逻辑系统中 有许多是可以利用有限状态机的设计方案来 描述和实现的 无论与基于 VHDL 的其它设计方案相比 还是与可完成相似功能的 CPU 相比 状态机都有其难以逾越的优越性 它主要表现在以下几方面 h 由于状态机的结构模式相对简单 设计方案相对固定 特别是可以定义符号化枚 举类型的状态 这一切都为 VHDL 综合器尽可能发挥其强大的优化功能提供了有利条件 而且 性能良好的综合器都具备许多可控或不可控的专门用于优化状态机的功能 h 状态机容易构成性能良好的同步时序逻辑模块 这对于对付大规模逻辑电路设计 中令人深感棘手的竞争冒险现象无疑是一个上佳的选择 加之综合器对状态机的特有的优 化功能 使的状态机解决方案的优越性更为突出 h 状态机的 VHDL 设计程序层次分明 结构清晰 易读易懂 在排错 修改和模块 移植方面 初学者特别容易掌握 h 在高速运算和控制方面 状态机更有其巨大的优势 由于在 VHDL 中 一个状态 机可以由多个进程构成 一个结构体中可以包含多个状态机 而一个单独的状态机 或多 个并行运行的状态机 以顺序方式的所能完成的运算和控制方面的工作与一个 CPU 类似 由此不难理解 一个设计实体的功能便类似于一个含有并行运行的多 CPU 的高性能微处 理器的功能 事实上这种多 CPU 的微处理器早已在通信 工控和军事等领域有了十分广 泛的应用 h 就运行速度而言 尽管 CPU 和状态机都是按照时钟节拍以顺序时序方式工作的 但 CPU 是按照指令周期 以逐条执行指令的方式运行的 每执行一条指令 通常只能完 成一项操作 而一个指令周期须由多个 CPU 机器周期构成 一个机器周期又由多个时钟 周期构成 一个含有运算和控制的完整设计程序往往需要成百上千条指令 相比之下 状 态机状态变换周期只有一个时钟周期 而且 由于在每一状态中 状态机可以完成许多并 行的运算和控制操作 所以 一个完整的控制程序 即使由多个并行的状态机构成 其状 态数也是十分有限的 因此有理由认为 由状态机构成的硬件系统比 CPU 所能完成同样 功能的软件系统的工作速度要高出两个数量级 h 就可靠性而言 状态机的优势也是十分明显的 CPU 本身的结构特点与执行软件 指令的工作方式决定了任何 CPU 都不可能获得圆满的容错保障 这已是不争的事实了 因此 用于要求高可靠性的特殊环境中的电子系统中 如果以 CPU 作为主控部件 应是 一项错误的决策 然而 状态机系统就不同了 首先是由于状态机的设计中能使用各种无 懈可击的容错技术 其次是当状态机进入非法状态并从中跳出所耗的时间十分短暂 通常 只有 2 个时钟周期 约数十个 ns 尚不足以对系统的运行构成损害 而 CPU 通过复位方第 10 章 有限状态机 FSM 199 式从非法运行方式中恢复过来 耗时达数十 ms 这对于高速高可靠系统显然是无法容忍 的 再其次是状态机本身是以并行运行为主的纯硬件结构

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值