自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(43)
  • 资源 (4)
  • 收藏
  • 关注

原创 解决verdi:MoTTY X11 proxy: Authorisation not recognised

运行verdi时,报错MoTTY X11 proxy

2023-07-09 16:35:14 2827

原创 ubuntu的verdi报错libpng12.so.0

Ubuntu 14以上就已经不再支持libpng12,然而有些软件又依赖于libpng12(如我要使用的Cisco Packet。我们可以采用特定的方法安装低版本的libpng。然后保存,并更新package list后即可安装。根据Ubuntu官网的提示,我们在其中加上。

2023-07-09 15:04:44 1199 1

原创 ubuntu下,verdi语法错误Syntax error: “(“ unexpected

【问题】/home/EDA_TOOLS/synopsys/verdi/verdi/Verdi_O-2018.09-SP2/bin/verdi: 56: /home/EDA_TOOLS/synopsys/verdi/verdi/Verdi_O-2018.09-SP2/bin/verdi: Syntax error: "(" unexpected。为了加快开机速度,用dash代替了传统的bash,是dash在捣鬼,解决方法就是取消dash。代码对于标准bash而言没有错,因为Ubuntu/

2023-07-09 14:52:35 1659

原创 安装vcs时,启动license报lmgrd: No such file or directory

在打开lmgrd管理器时,碰到无法运行此程序的现象,错误提示为no such file and directory. 按照提示,应该是系统不认识这个程序,安装的系统是ubuntu 10.10版本,由于安装过程,只是添加了ubuntu-desktop软件包以及nfs的软件包,所以我猜可能是因为缺失依赖包的缘故,最后发现,原来是缺少以下两个包,特此标记,以观后效。由于ubuntu server版本,默认是不安装X界面以及其余依赖包的,所以,这种情况下,容易出现软件安装无法运行的问题。

2023-07-09 14:43:24 834

原创 ubuntu安装vcs2018

vcs2018在ubuntu的安装

2023-02-28 15:08:37 277

原创 vivado安装“Generating installed device list”问题

【问题】centos8*安装vivado18.3时,安装界面卡在了“Generating installed device list”位置。 【解决】yum install ncurses-compat*联网安装如上的依赖包就可以

2022-07-06 14:39:14 1578

原创 AXI CDMA Linux user space example on Zynq UltraScale+ RFSoC

参考链接:https://forums.xilinx.com/t5/Design-and-Debug-Techniques-Blog/AXI-CDMA-Linux-user-space-example-on-Zynq-UltraScale-RFSoC/ba-p/1096735This blog entry will show you how to create an AXI CDMA Linux userspace example application.The example design wil

2020-12-22 19:54:36 889

转载 centos7修改网卡名称为eth0

一、在安装系统的时候配置:修改内核选项:net.ifnames=0 biosdevname=0二、已安装系统修改方法1、编辑网卡信息cd /etc/sysconfig/network-scripts/ #进入网卡目录mv ifcfg-en067761 ifcfg-eth0 #重命名网卡cat ifcfg-eth0TYPE=EthernetBOOTPROTO=staticDEFROUTE=yesPEERDNS=yesPEERROUTES=yesI

2020-09-15 23:12:43 230

转载 xilinx zynq的fsbl阶段的调试

1,什么情况下会使用zynq fsbl的启动调试模式?答:我们在进行zynq开发,常把项目生成bin文件或者mcs文件,然后加载到板子上进行调试运行。然而有时候把文件加载后,上电板子没任何响应,这时则需要启动zynq fsbl启动调试模式,看看启动具体是在哪里卡住了。2,启动调试步骤 在myfsbl/src/fsbl_debug.h中添加#define FSBL_DEBUG_INFO,打开fsbl中所有的调试信息,启动过程中会有各种调试信息打印出来,这样就会很容易知道启动...

2020-07-08 17:34:37 5654 1

原创 request/grant协议和enable/ready协议

1、request/grant协议 请求应答机制,更多的应用在AXI和AHB等多设备总线情况。 如,某些设备通过发出request,请求控制总线(已完成数据通信)。总线的仲裁逻辑,根据设备请求的情况,给出仲裁结果,即应答grant。得到总线控制权的设备,操作总线。 该协议也适用于软件和硬件的交互,比如emulation中的scemi协议的实现。2、enable/ready协议 点对点的设备连接,及数据传输。 通过ready和enable...

2020-06-09 16:27:57 964

原创 svn使用的适用技巧

关于SVN的使用,这里整理两个重要的问题:1、svn不能commit “.so.2” ".a "等库文件:svn add 还是 svn st 均查看不到想要提交的 so 文件。后来才知道原来是配置文件出了问题,把so文件的提交给屏蔽掉了。修改步骤如下:1.centos/Ubuntu 系统,点击左上角Place,选择Home Folder,打开home文件夹2.ctrl+h ...

2020-01-17 10:53:18 175

原创 IC基本知识

 前面学习了进行低功耗的目的个功耗的构成,今天就来分享一下功耗的分析。由于是面向数字IC前端设计的学习,所以这里的功耗分析是基于DC中的power compiler工具;更精确的功耗分析可以采用PT,关于PT的功耗分析可以查阅其他资料,这里不涉及使用PT的进行功耗分析。  (1)功耗分析与流程概述  上一个小节中讲解了功耗的构成,并且结合工艺库进行简要地介绍了功耗的计算。但是实际上,我们根...

2019-12-13 10:39:31 3873

转载 petalinux的制作实例【转载】

前面我已经把PetaLinux成功安装到了Ubuntu虚拟机当中了,接下来就要实际操作,将PetaLinux移植到我们自己的硬件平台当中去。step1:硬件描述文件有两种PetaLinux工程建立的方法,一种是下载官方开发板的BSP包并安装,一种就是针对自己的硬件平台去剪裁Linux功能以适应自己的需求。第一种,比较简单,官方提供了完整的demo和已经预编译好的各种文件。比如...

2019-08-19 09:49:53 838

原创 synopsys_sim.setup文件

vivado的工程,通过第三方的vcs进行仿真时,需要vivado预先生成synopsys_sim.setup文件和相应的xilinx的库文件。其中synopsys_sim.setup可以用指定xilinx的的库文件的位置。1、vivado生成vcs库文件的方法: a.guif方式:vivado界面的Tools > Compile Simulation Libraries;指定...

2019-08-15 17:29:46 8139

转载 f_mount函数

f_mountThe f_mount fucntion registers/unregisters filesystem object to the FatFs module.FRESULT f_mount ( FATFS* fs, /* [IN] Filesystem object */ const TCHAR* path, /* [IN] Logical d...

2019-08-14 14:56:50 6964

转载 修改centos网口名称

目前的网卡名称为:ensc33想要修改为熟悉的eth0第一步:编辑网卡的配置文件 vi /etc/sysconfig/network-scripts/ifcfg-ensc33 将里面的NAME项修改为eth0 第二步:重命名该配置文件为eth0 mv /etc/sysconfig/network-scripts/ifcfg-ensc33 /etc/sys...

2019-08-12 14:03:41 1833

原创 Linux下*.tar.gz/.tar.bz2 文件解压缩安装命令

Linux tar 命令在Linux平台,tar是主要的打包工具。tar命令通常用来把文件和目录压缩为一个文件( tarball 或 tar, gzip 和 bzip)。Tar选项:c – 创建压缩文件 x – 解压文件 v – 显示进度. f – 文件名. t – 查看压缩文件内容. j – 通过bzip2归档 z –通过gzip归档 r – 在压缩文件中追加文件或目录...

2019-08-02 10:47:30 5659

转载 centos7从零开始安装VCS2016以及运行UVM-1.1a

#前期准备1)centos7 64位系统2)scl11.93)VCS2016艰辛历程前前后后持续了大概半个月时间。安装步骤事后写的,很多问题已经记得不是很清楚,有问题可以私信我,我看到后会回复。所有经历过的错误(1)安装./setup.sh时遇到,error while loading shared libraries: libXss.so.1: cannot open...

2019-07-09 10:29:49 1431

转载 centos7中yum安装ntfs-3g

CentOS默认源里没有ntfs3g,想要添加ntfs支持,无非是自己下载编译安装或者加源yum安装。新安装了一个CentOS7,用的是添加aliyun的epel源来yum安装的方式,简单易行。1、加源wget -O /etc/yum.repos.d/epel.repo http://mirrors.aliyun.com/repo/epel-7.repo2、安装yum up...

2019-06-12 21:42:18 778

转载 Makefile学习教程: 跟我一起写 Makefile

作者:陈皓. 来源:http://www.csdn.netMakefile学习教程: 跟我一起写 Makefile 0 Makefile概述 0.1 关于程序的编译和链接 1 Makefile 介绍 1.1 Makefile的规则 1.2 一个示例 1.3 make是如何工作的 1.4 makefile中使用变量 1.5 让make自动推导...

2019-05-22 12:07:44 275

原创 vivado保存debug的波形

1、命令为: 保存:write_hw_ila_data usb_prbs [upload_hw_ila_data hw_ila_1] 其中usb_prbs为用户自定义的文件名;hw_ila_1为目前需要保存的波形 保存的文件为:usb_prbs.ila查看方式: 打开vivado的hardware manager ...

2019-04-10 11:37:18 1606 1

转载 安装CentOS7出现dracut-initqueue timeout-starting…starting timeout scripts 解决办法

dracut:/# cd devdracut:/# ls | grep sd这样子你就会看到所有的设备信息。找到sdbx,x为一个数字,是你u盘所在一般是sdb4 (或者直接把你u盘拔下来 看看哪个消失了 就是哪个)dracut:/# reboot 重启之后在install页面按e键修改vmlinuz initrd=initrd.img inst.stage2=hd:LABEL=C...

2019-02-27 11:44:12 16077 1

原创 uvm modelsim仿真主要的脚本命令

1、示例1set  UVM_DPI_HOME   D:/modeltech64_10.4/uvm-1.1d/win64if [file exists work] {    vdel -all}vlib workvlog  -L mtiAvm -L mtiOvm -L mtiUvm -L mtiUPF  hello_world.svvsim -c -sv_lib $UVM_DPI_H...

2019-02-20 17:57:25 1180

原创 uvm学习笔记

1、运行helloworld.sv时,报错如下:原因是work文件夹已经存在,解决方式:手动删除work文件夹,或者在do脚本中添加如下代码,通过脚本删除work文件夹:if [file exists work] {    vdel -all}2、参照《UVM实战》的代码尝试通过modelsim仿真,发现仿真数据从复位之前就开始了对应的driver代码为:`ifnd...

2019-02-20 17:55:39 609

转载 FPGA仿真--前仿真和后仿真

    初学者学习FPGA,必定会被它的各种仿真弄的晕头转向。比如,前仿真、后仿真、功能仿真、时序仿真、行为级仿真、RTL级仿真、综合后仿真、门级仿真、布局布线后仿真等。  Quartus和Modelsim软件的仿真形式  Quartus II有两种仿真形式:1、功能仿真;2、时序仿真。  Quartus II调用Modelsim的两种仿真形式为:1、RTL级仿真;2、Gate-lev...

2018-12-27 17:47:10 3494 2

转载 Gvim常用命令——1

这是一篇较全的vim命令。特记录下来,有稍作修改说明。摘http://hi.baidu.com/ui176/item/b00ae7c0eeaba52847d5c0c5Vim常用命令跳到指定的行号:在命令行下直接输入:行号比如跳到500行,输入:500即可整体缩进的操作:在命令行模式下,按住shift+v进入可视行选择状态,使用shift加上下左右方向键选择好整体的代码块,然...

2018-11-03 15:45:13 948

转载 linux软连接的创建、更新和删除

创建软链接ln  -s  [源文件或目录]  [目标文件或目录]例如:当前路径创建test 引向/var/www/test 文件夹ln –s  /var/www/test  test创建/var/test 引向/var/www/test 文件夹ln –s  /var/www/test   /var/test  删除软链接和删除普通的文件是一眼的,删除都是使用...

2018-10-29 11:14:05 943

原创 Linux环境变量

1、环境变量位置     a、~/.bashrc     b、/etc/bashrc     c、/etc/profile2、变量:   PATH:决定了shell将到哪些目录中寻找命令或程序,PATH的值是一系列目录,当您运行一个程序时,Linux在这些目录下进行搜寻编译链接 语法:PATH=$PATH:<PATH 1>:<PATH 2>:<P...

2018-10-22 14:12:32 179

转载 Windows下mklink使用, 硬链接, 软链接和快捷方式的区别

mklink是windows系统下创建符号链接和硬链接的命令工具,它是一个很好的解决文件系统问题的工具。使用它需要管理员权限。首先,先来介绍下mklink这个命令,可以看下下面的截图: mklink创建符号链接。MKLINK [[/D] | [/H] | [/J]] Link Target        /D      创建目录符号链接。默认为文件                符...

2018-10-20 10:26:40 855

转载 在VCS仿真器中使用FSDB

来源:https://www.cnblogs.com/catannie/p/8099331.htmlFSDB(Fast Signal Database)是Verdi支持的文件格式,用于保存仿真产生的信号波形。据Verdi文档说明,FSDB比标准的VCD格式节省磁盘空间,处理速度更快。要用VCS仿真器生成FSDB文件,就要调用Verdi提供的FSDB dumping命令,较常用的方法是在Test...

2018-10-17 23:33:07 4374

转载 Verdi调用VCS进行交互式仿真

前一篇介绍了使用Verdi的后处理模式查看仿真波形进行调试,此外Verdi还支持交互模式,可以调用外部仿真器,下面介绍Verdi调用VCS进行交互模式仿真的方法。注意,这里介绍的方法需要2016版的VCS和Verdi,旧版本不支持。第一步,调用VCS生成simv。vcs -full64 -sverilog -debug_all -lca -kdb -timescale=1ns/1ps &...

2018-10-17 23:22:35 1056

原创 vivado的使用技巧整理

1、edf生成  https://china.xilinx.com/support/answers/54074.html    综合完成后会跳出个框框,选择open synthesis  write_edif module.edf  write_verilog -mode port module_stub.v(Vivado2015.3)  write_verilog -mode...

2018-09-13 09:08:26 2269

转载 gvim折叠展开

[gvim]VIM 代码折叠在vimrc文件中设置折叠方式:如set foldmethod=indent选定折叠方式后,就可以使用折叠命令进行折叠操作了.常用的折叠方式为indent和marker.indent折叠命令indent方式,vim会自动利用缩进进行折叠,我们可以使用现成的折叠成果.我们可以在折叠处输入以下命令:zc 折叠zC 对所在范围内所有嵌套的折叠点进行...

2018-09-06 11:25:59 10731

转载 GVIM常用的命令

1、GVIm的替换命令:# 替换:vi/vim/gvim 中可以使用 :s 命令来替换字符串。(1).替换当前行第一个待替换元素# 替换当前行第一个ABC为abc:s/ABC/abc/(2).替换所有行第一个待替换元素# 替换所有行第一个ABC为abc:s/ABC/abc/g(3).替换指定行第一个待替换元素# 替换指定n到m行第一个ABC为abc:n,...

2018-08-28 09:29:12 1504

原创 linux的常用命令记录

1、修改环境变量的位置:    ~/.bashrc:可以对这个系统的环境变量进行设置,同时通过别名可以实现对程序的启动;   ~/.bash_prfile:可以对当前账户的环境变量进行设置,和别名的设置;如在vnc服务器上以非管理员的身份登录时,没法修改系统的环境变量,可以在该文件中修改,如gvim启动,为了方便可以同别名启动:  2、搜索包含关键字的文件:在linux下如果要...

2018-08-22 15:51:59 336

转载 verilog 语言[N:0]和[0:N]定义变量方法、比较大小以及不定值x用于if语句中的处理

为了搞清楚verilog [N:0]和[0:N]两种定义变量的区别,以及测试代码对不定值x的处理(x是有时当作1处理,有时当作0处理,还是既不做0也不做1处理?)写了如下的测试代码  `alert('module HL_order;         reg [4:0] A;         reg [0:4] B;         reg [4:0] d...

2018-08-09 15:04:34 16565

转载 详解FPGA中的建立时间与保持时间(转)

概念对于一个数字系统而言,建立时间和保持时间可以说是基础中的基础,这两个概念就像是数字电路的地基,整个系统的稳定性绝大部分都取决于是否满足建立时间和保持时间。但是对于绝大部分包括我在内的初学者来说,建立时间和保持时间的理解一直都是一个很大的困扰,尽管概念背得住,但是却没有理解这其中的精髓。这篇文章主要是讨论一下我对于这两个时间的理解。首先我们先来看看维基百科中对建立时间(Setup tim...

2018-08-08 09:22:15 3276

转载 VCS使用学习(转)

最近在学习VCS,现将VCS的一些使用心得记录下来。           VCS是synopsys的仿真verilog的仿真器。基于linux系统。有命令行模式和图形化模式。图形化模式是用的dve。            以串口verilog代码使用为例,进行VCS使用说明。            简要说明下该串口功能。该串口工作在波特率为115200,无奇偶检验位。一位停止位。当使能...

2018-08-02 00:20:09 1572

原创 xilinx vivado的Combinatorial Loop Alert

问题:在对inout信号进行处理的工程中,生成bit文件的过程中遇到的组合逻辑循环报警的错误,这里需要的xdc中添加必要的约束才能解决,具体软件报错如下:[DRC 23-20] Rule violation (LUTLP-1) Combinatorial Loop Alert - 3 LUT cells form a combinatorial loop. This can create a ...

2018-07-30 11:24:13 11449

转载 uvm 与 system verilog的理解

数字芯片和FPGA的验证。主要是其中的功能仿真和时序仿真。验证中通常要搭建一个完整的测试平台和写所需要测试用例。而verilog这种硬件描述语言是出于可综合成电路的目的设计出来的,所以它在书写测试平台和测试用例是不够方便的(测试平台和用例不需要综合成电路)。而SV正是由于它不需要满足可综合性,所以它变得非常灵活,它引入了面向对象语言的概念。在验证方面,如果说verilog是C语言的话,那SV就是C...

2018-05-10 11:54:50 2097 1

clipbrdexe.zip

在使用mobaxterm时,操作远程桌面,如选择文字时,软件会提示failed to open clipboad;将clipbrd.exe拷贝到C:\Windows\System32。重启后不再会出现之前的问题

2020-07-23

BvSshServer-Inst.exe

Bitvise SSH Server v8.41,2020年3月份最新版;国内没法访问其官网。分享给大家。已经在我本地进行了安装使用

2020-03-09

compat-libgmp-4.3.1-1.sl7.x86_64.rar

用于解决centos7上没法安装libgmp.so.3依赖包问题,libgmp-4.3.1-1.sl7.x86_64.rpm

2019-11-18

vcs仿真的基本的设置_模板

vcs仿真vivado工程的基本的设置,模板文件;可以通过本资源完成基本的vcs仿真; 包括makefile和基本的编译命令等

2019-08-15

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除