lpm_divide除法器

乘除法器IP使用注意
1、64bit数相乘:使用lpm_mult输入两个64bit数,输出为128bit数,如果小于128bit则输出的高位,舍弃低位。
2、64bit数相除:使用lpm_divide输入两个64bit数,输出为64bit数和一个64bit余数。输出需要一个延时才能够稳定。

测试使用40mhz时钟。
如果频率更改,建议乘除输出都增加一个延迟,此时输出结果才稳定,否则低位出错。

  • 2
    点赞
  • 6
    收藏
    觉得还不错? 一键收藏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值