DW的网站管理--Check In/Out功能

Dreamweaver作为新一代的网页排版制作软件,除了绝佳的DHTML编辑功能和高度的兼容性以外,还提供了强大的网站管理功能,其中的自动更新超链、模板与库的使用、内建F TP等功能自不必说,最重要的一点,它提供了多人协作网站的管理功能。
要制作管理一个大型的网站,只靠一个人的力量是远远不够的,需要多人协作共同完成。一般的分工可能是:某一个人负责一个(或多个)栏目,他人对该栏目无修改权限。但大多数情况下,首页、次首页及其相关均有权修改,在这种情况下,如果一时疏忽或协同不好,很容易出现两个(或更多)人同时修改同一页面的情况,更新时相互覆盖,造成页面的混乱,甚至可能使得某人长时间的工作付之东流。 


这种情况下,如果使用DW的Check In/Out功能,将编辑中的网页锁定,则可避免不必要的损失。 


首先,在DW中定义站点时,要启动Check In/Out功能。方法是:选种Check In/Out选项,在Options中勾选Enable File Check In and Check Out即启动该功能;勾选Check Out Files when Opening则在打开文件时自动Check Out该文件;在Check Out Name栏中输入编辑者ID, 以便他人查看方便。 


设定完成后,当需要编辑某公共文件时,在SITE视窗中,选中该文件,点击Check Out按扭(或双击该文件,自动进入编辑状态,自动启动Check Out ),此时在编辑者的SITE视窗中远端和本地端窗口中该文件图标后面将跟随一个绿色的√,表示该文件已经被Check Out,在Check Out By栏中会显示该编辑者的ID。

而在其他合作者的SITE视窗中,将会看到一个红色的√,表示该文件已经被人编辑,同样的可以查看到编辑者的ID。 


当编辑完成后,将文件上传更新,点击Check In按扭,解除对该文件的锁定,此时在该文件图标后面出现一个灰色的锁状标识。

(个人感觉DW的此种标识有违常规,按理锁定时应该为锁状,解锁时应该为绿色√) 



为了保证所有协同者的工作不被抹杀,建议每个编辑者在编辑公用文件时,首先将该文件GET下来,这样可以保证你所要编辑的文件为最新版本,避免使用本地端的旧版本覆盖他人更新的新版本文件。 


为什么建议使用GET功能呢?如果使用其他FTP软件DOWN不可以吗?

我们知道,更新一个文件时,除了该文件本身以外,很可能牵连有其他图象文件或文本,而使用FTP软件不能保证可以得到所有相关文件,使用G ET功能则没有此问题的困扰。

同样的,当编辑完成后,使用PUT功能即可将页面及其相关文件一并上传。 


特别注意:编辑、更新完成后,切记将文件Check In解锁,否则即使你退出DW甚至关闭计算机,他人仍然无法改动该文件!!! 


  一点提示:当你在编辑某文件后发现无法存盘,请打开SITE视窗,查看该文件是否被Check Out,因为被Check Out的文件将被赋予只读属性。
  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
//XW_crc_p.v `pragma protect begin module DW_crc_p( data_in, crc_in, crc_ok, crc_out ); parameter integer data_width = 16; parameter integer poly_size = 16; parameter integer crc_cfg = 7; parameter integer bit_order = 3; parameter integer poly_coef0 = 4129; parameter integer poly_coef1 = 0; parameter integer poly_coef2 = 0; parameter integer poly_coef3 = 0; input [data_width-1:0] data_in; input [poly_size-1:0] crc_in; output crc_ok; output [poly_size-1:0] crc_out; `define DW_max_data_crc_1 (data_width>poly_size?data_width:poly_size) wire [poly_size-1:0] crc_in_inv; wire [poly_size-1:0] crc_reg; wire [poly_size-1:0] crc_out_inv; wire [poly_size-1:0] crc_chk_crc_in; reg [poly_size-1:0] crc_inv_alt; reg [poly_size-1:0] crc_polynomial; `include "bit_order_crc_function.inc" `include "bit_order_data_function.inc" `include "calculate_crc_w_in_function.inc" `include "calculate_crc_function.inc" `include "calculate_crc_crc_function.inc" generate //begin genvar bit_idx; reg [63:0] crc_polynomial64; reg [15:0] coef0; reg [15:0] coef1; reg [15:0] coef2; reg [15:0] coef3; assign coef0= poly_coef0; assign coef0= poly_coef1; assign coef0= poly_coef2; assign coef0= poly_coef3; assign crc_polynomial64 = {coef3, coef2, coef1, coef0}; assign crc_pollynomial = crc_polynomial64[poly_size-1:0]; case(crc_cfg/2) 0: assign crc_inv_alt = {poly_size{1'b0}}; 1: for(bit_idx = 0; bit_idx<poly_sizel bit_idx=bit_idx+1) assign crc_inv_alt[bit_idx] = (bit_idx % 2)? 1'b0:1'b1; 2: for(bit_idx=0; bit_idx<poly_size; bit_idx=bit_idx+1) assign crc_inv_alt[bit_idx] = (bit_idx % 2)?1'b1:1'b0; 3: assign crc_inv_alt = { poly_size{1'b1}}; endcase endgenerate assign crc_in_inv = bit_order_crc(crc_in) ^ crc_inv_alt; assign crc_reg = calculate_crc(bit_order_data(data_in)); assign crc_out_inv = crc_reg; assign crc_out = bit_order_crc(crc_out_inv)^ crc_inv_alt; assign crc_chk_crc_in = calculate_crc_crc(crc_reg, crc_in_inv); assign crc_ok = (crc_chk_crc_in ==0); `undef DW_max_data_crc_1 endmodule `pragma protect end can you write a testbench for this piece of CRC verilog code so that this verilog file and the testbench can be used togerther by vcs to verify the correctness of this verilog file?
最新发布
06-14
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值