状态机-自动收货


module auto_sale(clk,rst_n,in,out,out_val);
input[1:0] in;
input clk,rst_n;


output reg[1:0] out;
output reg out_val;
reg[3:0] state;
reg[3:0] state_next;

localparam S0=4'b0000;
localparam S1=4'b0001;
localparam S2=4'b0010;
localparam S3=4'b0100;
localparam S4=4'b1000;


always @(posedge clk or negedge rst_n) begin
    if(!rst_n)
      begin
      state <= S0;  
      end
    else begin
      state <= state_next;
     end 
end


always @(*) begin
    case(state)
    S0:
      if(in==2'b01)
          state_next =S1;
       else if(in==2'b10)
          state_next = S2;
       else state_next = state;
     S1:
       if(in==2'b01)
           state_next = S2;
        else if(in ==2'b10)
           state_next =S3;
        else 
          state_next = state;
     S2:
         if(in==2'b01)
            state_next = S3;
          else if(in==2'b10)
            state_next = S4;
         else
             state_next =state;
     S3:
         if(in==2'b01)
             state_next= S4;
          else if (in ==2'b10)
              state_next= S4;
          else state_next = state;
      S4: 
         if(in==2'b01)
             state_next = S1;
         else if(in==2'b10)
             state_next = S2;
         else state_next = state;
     default: state = S0;
    endcase 
end


always @(posedge clk or negedge rst_n) begin
   if(!rst_n)
       out <=0;
   else if ((state==S3&&in!=2'b00) ||(state==S2&&in == 2'b10))
         out<=1;
   else 
      out<=0;

end


always @(posedge clk or negedge rst_n) begin
   if(!rst_n)
       out_val <=0;
   else if(state ==S3&&in==2'b10)
        out_val <=1;
   else 
       out_val <=0;
end 

endmodule


 
 

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值