UVM:5.3.2 多domain 的例子

177 篇文章 139 订阅

1.将某个component 置于新的domain中,B如下:


1)新建一个domain 并例化。在connect_phase 中通过set_domain 将B 加入到此domain中:原型:


2)第二个参数表示是否递归,1表示递归。

3)例化一般在build_phase 完成,所以一般在connect_phase 中调用set_domain。


2:A:



3:base_test:



4:结果:


A 和B 的run-time phase完全异步了。

  • 2
    点赞
  • 5
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值