UVM:7.6.2 检查默认值的sequence

177 篇文章 139 订阅

1.uvm_reg_hw_reset_seq 检查上电复位后寄存器模型与DUT 寄存器的默认值是否相同,原型为:



2.对于DUT,复位完成后,就是默认值。但是对寄存器模型,如果只是集成到验证平台,不做任何处理,所有值都是0,此时要调用reset 函数使其寄存器的值变为默认值:


3.这个sequence 检查前会调用model 的reset函数,所以即使user没有调用reset,这个sequence 也能正常工作(它会自动调)。

4.除了reset外,这个sequence使用前门读取所有寄存器的值,与寄存器模型比较。

5.此sequence 也要指定model 变量。


6.如果想跳过某个寄存器的检查,可在启动此sequence之前用个resource_db 设置。

7.resource_db 与config_db 底层机制实现是一样的,uvm_config_db 实参uvm_resource_db 派生来的。

使用resource_db 进行设置:




  • 0
    点赞
  • 11
    收藏
    觉得还不错? 一键收藏
  • 3
    评论
评论 3
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值