自动售货机的找零程序模拟

模拟自动售货机的找零程序。需求描述如下:

1、程序根据用户投入货币的面额及其数量,在扣除购买的商品价额后,进行找零。

2、程序需要根据售货机内现存的各种货币面额和数量,在优先分配大面额货币的条件下,进行找零。

3、暂时仅支持整数找零。

4、实际的自动售货机找零是串行化操作,因此不考虑多线程同步问题。

 

package org.tang.change;

/**
 * 货币枚举类
 * @author Tang
 *
 */
public enum Currency {
	/**
	 * 货币面额
	 */
	HUNDRED_YUAN(100),
	FIFTY_YUAN(50),
	TWENTY_YUAN(20), 
	TEN_YUAN(10), 
	FIVE_YUAN(5), 
	ONE_YUAN(1);
	
	/**
	 * 货币面额值
	 */
	private int value;
	
	public int getValue() {
		return value;
	}

	private Currency(int value){
		this.value = value;
	}
}

  定义货币面额的枚举类。在需要增加面额情况下,可以扩展词枚举类。但是需要保证按照面额降序排列,这是为了实现较大面额货币将被优先分配找零的目的。

 

package org.tang.change;

import java.util.HashMap;
import java.util.Map;

import org.apache.commons.logging.Log;
import org.apache.commons.logging.LogFactory;
/**
 * 自动售货机类
 * @author Tang
 *
 */
public class VendingMachine {
	private static final VendingMachine MACHINE = new VendingMachine();
	/**
	 * key表示货币面额
	 * value表示该面额货币在此售货机当中的存量
	 */
	private static Map<Currency, Integer> moneyPool = new HashMap<Currency, Integer>();
	private static Log logger = LogFactory.getLog(VendingMachine.clas
  • 1
    点赞
  • 7
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
Verilog是一种硬件描述语言,用于设计和描述数字电路。自动售货机是一种常见的应用,可以使用Verilog来实现其功能。 在Verilog中,可以使用状态机的方式来描述自动售货机的行为。以下是一个简单的Verilog代码示例,用于实现找零自动售货机: ```verilog module VendingMachine ( input clk, // 时钟信号 input reset, // 复位信号 input coin, // 投币信号 input select, // 选择商品信号 output reg vend, // 售货信号 output reg change // 找零信号 ); // 状态定义 typedef enum logic [2:0] { IDLE, COIN_INSERTED, PRODUCT_SELECTED, CHANGE_RETURNED } State; // 状态寄存器和下一个状态逻辑 reg [2:0] current_state; reg [2:0] next_state; always @(posedge clk or posedge reset) begin if (reset) begin current_state <= IDLE; end else begin current_state <= next_state; end end // 状态转移逻辑 always @(current_state) begin case (current_state) IDLE: if (coin) begin next_state = COIN_INSERTED; end else begin next_state = IDLE; end COIN_INSERTED: if (select) begin next_state = PRODUCT_SELECTED; end else if (coin) begin next_state = COIN_INSERTED; end else begin next_state = IDLE; end PRODUCT_SELECTED: if (coin) begin next_state = CHANGE_RETURNED; end else begin next_state = IDLE; end CHANGE_RETURNED: next_state = IDLE; endcase end // 输出逻辑 always @(current_state) begin case (current_state) IDLE: vend = 0; change = 0; COIN_INSERTED: vend = 0; change = 0; PRODUCT_SELECTED: vend = 1; change = 0; CHANGE_RETURNED: vend = 0; change = 1; endcase end endmodule ``` 上述代码中,使用了一个状态机来描述自动售货机的行为。自动售货机有四个状态:IDLE(空闲状态)、COIN_INSERTED(投币状态)、PRODUCT_SELECTED(商品选择状态)和CHANGE_RETURNED(找零状态)。根据输入信号的不同,自动售货机会在不同的状态之间进行转移,并输出售货和找零信号。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值