modelsim仿真

本文介绍了如何进行Modelsim仿真,包括编译工程所需的库、修改medelsim.ini、编写do文件以及创建波形文件。在步骤1中,详细讲解了在ISE和Vivado环境下如何编译仿真库。步骤2涵盖了创建和关联库、编译源文件及设置仿真参数。最后,在步骤3中,展示了如何编写波形文件以便观察仿真结果。
摘要由CSDN通过智能技术生成

modelsim仿真,源代码 + 库 + medelsim.ini + do文件 + 波形文件。

step1: 编译工程所需的库,并修改medelsim.ini,使其与modelsim关联起来。

  • ISE库:start->Xilinx Design Tools->ISE Design Suite 14.5->EDK->Tools->Compile Simulation Libraries,然后选择modelsim的win64/win32文件夹,下一步选择verilog/VHDL,按步骤即可。
  • vivado库:start,找到Vivado的Tcl Shell,打开后使用tcl命令:compile_simlib,格式为:compile_simlib -directory 输出库目录 -simulator 仿真软件 -simulator_exec_path 仿真器exe所在文件夹,例子如下:

仿真库编译到:C:/modeltech64_10.2c/vivado_libs;仿真工具:modelsim;modelsim路径:C:\modeltech64_10.2c\win64。

tcl命令:compile_simlib -directory C:/modeltech64_10.2c/vivado_libs -simulator modelsim -simulator_exec_path C:\modeltech64_10.2c\win64

  • 2
    点赞
  • 9
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值