(华为内部资料连载)FPGA设计流程指南 – 基于HDL的FPGA设计流程概述

前言

本部门所承担的FPGA设计任务主要是两方面的作用:系统的原型实现和ASIC的原型验证。

编写本流程的目的是:

在于规范整个设计流程,实现开发的合理性、一致性、高效性。

形成风格良好和完整的文档。

实现在FPGA不同厂家之间以及从FPGA到ASIC的顺利移植。

便于新员工快速掌握本部门FPGA的设计流程。

由于目前所用到的FPGA器件以Altera的为主,所以下面的例子也以Altera为例,工具组合为 modelsim +
LeonardoSpectrum/FPGACompilerII + Quartus,但原则和方法对于其他厂家和工具也是基本适用的。


1. 基于HDL的FPGA设计流程概述

1.1 设计流程图

3fe27f6ad3da3b683fd4a54c396bb73f.jpeg

说明:

逻辑仿真器主要指modelsim,Verilog-XL等。

逻辑综合器主要指LeonardoSpectrum、Synplify、FPGA Express/FPGA Compiler等。

FPGA厂家工具指的是如Altera的Max+PlusII、QuartusII,Xilinx的Foundation、Alliance、ISE4.1等。

1.2 关键步骤的实现

1.2.1 功能仿真

c5a9e2cce43a06ace122474ec13d890b.jpeg

说明:

“调用模块的行为仿真模型”指的是RTL代码中引用的由厂家提供的宏模块/IP,如Altera 提供的LPM库中的乘法器、存储器等部件的行为模型。

1.2.2 逻辑综合

4be58f2e8efbd439fdc0028847b90f66.jpeg

说明:

“调用模块的黑盒子接口”的导入,是由于RTL代码调用了一些外部模块,而这些外部模块不能被综合或无需综合,但逻辑综合器需要其接口的定义来检查逻辑并保留这些模块的接口。

1.2.3 前仿真

63e326c9af7bd431d4cf341c64bdf45e.jpeg

说明:一般来说,对FPGA设计这一步可以跳过不做,但可用于debug综合有无问题。

1.2.4 布局布线

e122688f31f109cc8ce2ab089eff05cf.jpeg

1.2.5 后仿真(时序仿真)

9c4ecc5317ad41761783d646773e83e4.jpeg

  • 0
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值