hdlbits.01xz.net /Circuits/Sequential Logic/Finite State Machines/Simple FSM 3(synchronous reset)

该Verilog代码定义了一个顶层数字模块,包含一个同步复位的状态机。状态机有四个状态A、B、C和D,根据输入in在这些状态之间转换。当reset信号有效时,状态机重置为状态A。输出out在状态D时为1,其他状态为0。
摘要由CSDN通过智能技术生成

就是把上一个里面对reset边沿的判断挪到 always@(*)里面处理

module top_module(
    input clk,
    input in,
    input reset,
    output out); //
    
    reg [3:0] state, next_state;
    parameter A = 4'b0001;
    parameter B = 4'b0010;
    parameter C = 4'b0100;
    parameter D = 4'b1000;
    
    // State transition logic
    always @(*) begin
        next_state = A;
        if(reset) begin
            next_state = A;
        end else begin
            case (state)
                A: begin
                    if(in)
                        next_state = B;
                    else
                        next_state = A;
                end
                B: begin
                    if(in)
                        next_state = B;
                    else
                        next_state = C;
                end
                C: begin
                    if(in)
                        next_state = D;
                    else
                        next_state = A;
                end
                D: begin
                    if(in)
                        next_state = B;
                    else
                        next_state = C;
                end
                default: begin
                    next_state = A;
                end
            endcase
        end
    end
    
    // State flip-flops with synchronous reset
    always @(posedge clk) begin
        if(reset)
            state = A;
        else
            state = next_state;
    end
    
    // Output logic
    always @(*) begin
        if(state == D)
            out = 1;
        else 
            out = 0;
    end
endmodule
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值