Error: Can't place pins assigned to pin location Pin


在Quartus编译过程中如果出现如下错误信息

Error: Can't place pins assigned to pin location Pin_E18 (IOPAD_X53_Y21_N14)

Info: Pin in_port_to_the_pio_button[6] is assigned to pin location Pin_E18 (IOPAD_X53_Y21_N14)

Info: Pin ~ALTERA_nCEO~ is assigned to pin location Pin_E18 (IOPAD_X53_Y21_N14)


是因为管脚复用导致的,按照如下设置可解决:

在QII中的Assignments----Device----Device and pin option-----(选项卡)Dual purpose pin

将nCE0的设置改为
use as regular IO


  • 1
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值