Chisel手册之Types

本文详细介绍了Chisel的类型系统,包括Data类及其子类如Bool、Bits、SInt、UInt、Bundle和Vec。Chisel的类型节点在硬件设计转换前被擦除,Data类提供了类型转换和端口方法。Bits类支持位操作,如Cat和Mux,而Bundle和Vec则用于组合和组织不同类型的数据。此外,Bools表示布尔值,Nums用于算术运算,SInt和UInt分别代表有符号和无符号整数。
摘要由CSDN通过智能技术生成
本文是Chisel手册第二篇Types。

Types
表示硬件设计的Chisel图包含原始节点和类型节点。Chisel类型系统与底层Scala类型系统分开维护,因此类型节点散布在原始节点之间,以允许Chisel检查并响应Chisel类型。Chisel类型节点在硬件设计转换为C ++或Verilog之前被擦除。getRawNode运算符定义在基本Node类中,跳过类型节点并返回找到的第一个原始节点。下图显示了内置的Chisel类型层次结构,其中Data为最顶层节点。



 (1) 内置标量类型包括Bool,SInt和UInt和内置聚合类型Bundle和Vec允许用户使用其他类型的集合扩展Chisel数据类型集。
 (2) Da
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值