vim编辑的缩进

2 篇文章 0 订阅

文章转自:http://linux-wiki.cn/wiki/zh-hans/Vim%E4%BB%A3%E7%A0%81%E7%BC%A9%E8%BF%9B%E8%AE%BE%E7%BD%AE


编程时代码缩进是相当必要的,使用Vim处理代码缩进并不困难,只要了解一些参数就可灵活运用。[1]

常用设置

缩进设置最常用的是:

:filetype indent on

它将开启针对文件具体类型的缩进。

下面的选项需要在命令模式下用set设置的选项的含义。使用方法如:

set ci       # 开启cindent
set noet     # 关闭expandtab
set sw=4     # shiftwidth=4
与自动缩进相关的变量表
变量名缩写含义
(no)autoindentai自动缩进,即为新行自动添加与当前行同等的缩进。
(no)cindentci类似C语言程序的缩进
(no)smartindentsi基于autoindent的一些改进


与TAB相关的变量表 [2]
变量名缩写含义
tabstop=Xts编辑时一个TAB字符占多少个空格的位置。
shiftwidth=Xsw使用每层缩进的空格数。
(no)expandtab(no)et是否将输入的TAB自动展开成空格。开启后要输入TAB,需要Ctrl-V<TAB>
softtabstop=Xsts方便在开启了et后使用退格(backspace)键,每次退格将删除X个空格
(no)smarttab(no)sta开启时,在行首按TAB将加入sw个空格,否则加入ts个空格。

设置样例

灵活使用上面的选项,就可以很好地调整缩进设置。

可以将下述设置加入到~/.vimrc中:

set sw=4
set ts=4
 
filetype indent on
autocmd FileType python setlocal et sta sw=4 sts=4

前面两行将shiftwidth和tabstop都设为4。第三行开启自动的缩进检测。最后一行则根据Python语言的建议(将tab展成四个空格)进行了专门设置。

Hint.gif
提示:
setlocal使set的效果只对当前buffer有效,不会影响到打开的其它文件。
Hint.gif
提示:
有关Python编程的更多设置,见 配置基于Vim的Python编程环境

在编程中使用缩进功能

有了上面的设置,还可以在编程时利用快捷键调整缩进,参见VI(VIM)编写程序技巧#缩进

参考资料

  1. Vim帮助文档
  2. http://www.vex.net/~x/python_and_vim.html
  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值