自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+

Birate的博客

努力工作,分享学习的点滴

  • 博客(6)
  • 资源 (3)
  • 收藏
  • 关注

原创 FPGA矩阵键盘(三段式)

矩阵键盘的原理我就不多说了,网上有很多,我就不做详细介绍了(扫描法)。module Array_KeyBoard#(parameter  CLK_DIV_200HZ=60000 //定义计数器的计数范围 12M*5ms=60000   每次转换时间为5ms 周期为20ms)(input clk,rst,input  [3:0]col,  //矩阵按键行接口o

2017-08-08 09:16:09 1357

转载 【FPGA】Verilog状态机设计

状态机是fpga设计中极其重要的一种技巧,掌握状态机的写法可以使fpga的开发事半功倍。下面记录一下状态机的基本知识理论。实例:三种状态机实现代码:[csharp] view plain copy print?// 一段式状态机

2017-08-07 13:36:48 799

原创 FPGA数码管计数功能

module decode(input clk,input rst,output [8:0]seg_led1,  //显示位数output [8:0]seg_led2);reg [8:0]seg[9:0];  //9位位宽的寄存器数组parameter div=6000000;reg [23:0]cnt;reg clk_div;reg [3:0]ge;

2017-08-05 16:34:08 1848

转载 FPGA学习网站推荐

1 FPGA官网===========================毫无疑问,FPGA的两大主力厂商的主页不容错过,所有资料都原滋原味,http://www.altera.com.cn/http://www.xilinx.com/,这个也可以由中文的,http://china.xilinx.com/比如,altera的Recommended HDL C

2017-08-04 21:45:44 640

原创 FPGA 时钟分频

硬件说明时钟信号的处理是FPGA的特色之一,因此分频器也是FPGA设计中使用频率非常高的基本设计之一。一般在FPGA中都有集成的锁相环可以实现各种时钟的分频和倍频设计,但是通过语言设计进行时钟分频是最基本的训练,在对时钟要求不高的设计时也能节省锁相环资源。在本实验中我们将实现任意整数的分频器,分频的时钟保持50%占空比。1,偶数分频:偶数倍分频相对简单,比较容易理解。通过计数器计

2017-08-04 21:36:02 37789 3

原创 Lattice Diamond中VerilogHDL按键延时消抖

按键消抖在之前的实验中我们学习了如何用按键作为FPGA的输入控制,在本实验中将学习如何进行按键消抖,用按键完成更多的功能。 硬件说明按键是一种常用的电子开关,电子设计中不可缺少的输入设备。当按下时使开关导通,松开时则开关断开,内部结构是靠金属弹片来实现通断。 按键抖动的原理 抖动的产生 :通常的按键所用的开关为机械弹性开关,当机械触点断开

2017-08-04 21:34:20 4306

tensorflow新旧api对照.xlsx

tensorflow API新旧对照表,修改了tensorflow 2.0以前版本的api 和2.0版本的匹配问题。对照表很详细。

2019-12-26

Altium designer PCB画板速成教材

里面有详细的pcb规则,和一些注意事项。给入门学pcb有一个很好的资料。

2015-11-19

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除