自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(51)
  • 收藏
  • 关注

原创 分享几个直接访问的GPT项目,ChatGPT智能最佳实践

自美国时间12月2日上线以来,美国硅谷的初创公司OpenAI推出的ChatGPT,已经拥有超过一百万的用户,受到热烈的讨论。它既能完成包括写代码,修bug(代码改错),翻译文献,写小说,写商业文案,创作菜谱,做作业,评价作业等一系列常见文字输出型任务,而且在和用户对话时,能记住对话的上下文,反应非常逼真。尽管业内人士认为,ChatGPT仍存在数据训练集不够新、全等问题,但在人类制造人工智能,终点将在哪里结束?人类与会思考的机器之间的关系,将会如何发展?这些问题,我们无法停止思考。

2023-04-13 19:11:35 2213

原创 【分享】基于单片机嵌入式的家用智能节水淋浴控制器的设计-基于单片机的电子贺卡控制系统设计-基于单片机的倒计时牌控制系统设计-基于单片机的彩灯控制器系统设计-多模式彩灯-单片机的八路路数字电压表控制设计

1334基于单片机嵌入式的家用智能节水淋浴控制器的设计-全套毕设课设设计资料三个ds18b20分别采集进水口热水 冷水 和温水的水温,然后分别显示出来,按下开始按键,系统初始化,自动设置出水温度为38度,可以通过按键加减进行微调,在淋浴过程中,通过人体红外传感检测是否有人,离开花洒下面,自动停水且停止温度调节,回去过重新打开。温度控制通过热水阀和冷水阀的开关进行调节水温。#include "lcd1602.h"#include "ds18b20.h"#include "DS1302.h..

2022-03-23 16:23:15 2448 6

原创 .Net Core中无处不在的Async/Await是如何提升性能的?

一、简介Async/Await在.Net Core中真的是无处不在,到处都是异步操作,那为什么要用?有什么作用?别人说能提升性能?网上一堆文章看的绕晕了也没说清楚,所以这里从理论,实践,原理一个个解开这些疑问。二、Async/Await有什么用?1.Async/Await用法示例用法很简单,这里就不详细说具体怎么用了,只提供一个示例,我们的目标是研究它的作用。 public class AsyncAwaitTest { public void Start

2022-03-11 17:38:54 2128

原创 【转】反压缩 js ,我的万花筒写轮眼开了,CV 能力大幅提升

前言因为比较菜,所以经常需要读一些别人的代码学习学习。有源码的代码当然好,但是很多网站不开源。这些网站的 js 又都是打包压缩过的,学习起来很难受。所以我做了一个小工具,通过修改抽象语法树,来处理这些打包压缩过的 js,增强代码可读性,让我们学习起来更容易。如果再借助重定向线上 js 到本地 js,或者使用 chrome 自带的 override 源码能力,甚至可以轻松调试别人的线上代码。有了这个工具,我 CV 界大师兄的名号可谓实至名归。需求在此之前,其实面对这些压缩过的 js

2022-03-11 16:53:21 1514

原创 【分享】基于单片机出租车计价器原理图-1344基于单片机的心率测量仪控制系统设计-基于单片机的水温度控制系统设计(汇编)-基于单片机计分器控制系统设计(含AD)-基于单片机的PID直流电机控制系统设计

1319基于单片机出租车计价器原理图-毕设课设资料1.白天起步价为10元,里程为3公里;起步里程之后单价为1.8元/公里;晚上(22:00-7:00)单价增加50%;2.实时显示总金额与总里程,最大值分别为999.9元与99.9公里;3.可以进行起步价和每公里单价的设定;4.显示乘车过程等待时间,车运动时停止计时,车停止时计时;途中等待超过10min 开始收费,等待单价是0.1元/分钟,计入总价中;5.能够显示当前的系统时间;6.选择传感器等器件;7.语音播报数据信;8.数据存储:设定的起

2022-03-11 11:35:54 540

原创 基于单片机的TLC稳压电源系统设计-基于单片机大脑运算能力智力测试仪-基于单片机超声波测距系统仿真设计(报告 PCB 原理图)-基于单片机超高精度电参数测试设计-基于单片机变电站变压器运行参数监测仿真

1316基于单片机的TLC稳压电源系统设计-毕设课设仿真资料三极管射极电压是稳压电源的输出电压,可以接用电器或负载,这个电压值通过TLC549(A/D,同TLC548)数据转换后,送往单片机处理并显示。调整按键可以改变输入TLC5615(D/A,同TLC5616)的数据。TLC5615的输出电压通过运算放大器与实际输出取样电压比较,控制三极管的电压输出。稳压电路的电压输出接受单片机检测,同时又受单片机的控制。电路在仿真时,各点的电压都连接有电压表显示。uchar code LED[10] =

2022-03-11 09:41:12 761

原创 基于单片机波形发生器PCB原理图报告设计资料-基于单片机比赛计时计分电路仿真设计-基于单片机报警器与旋转灯设计-基于单片机八路数据电压采集报警控制系统-基于单片机病房呼叫系统控制系统设计【毕设课设资】

1302基于单片机波形发生器PCB原理图报告设计资料-毕设课设原理图程序资料设计一个信号发生器,能在单片机的基础上控制并产生三角波、方波、正弦波和矩形波,且频率幅度和波形可以通过按键改变。#include <reg51.h>#include<string.h>#define uchar unsigned char#define uint unsigned intsbit RS=P3^0;sbit RW=P3^1;sbit EN=P3^3;sbit K1=P

2022-03-10 19:06:18 1267

原创 基于单片机串口远程多路温湿度采集仿真-基于单片机ADC0809八路电压采集系统设计-基于单片机16x32点阵多模式显示仿真设计-基于单片机餐厅呼叫控制系统仿真设计-基于STM32单片机的智能水杯设计

1220基于单片机ADC0809八路电压采集系统设计-毕设课设资料数码管显示,测量八路电压表。#define addo (5.0/255.0)#define zero 0.005 //用于校准AD的误差void main(){ uint cnt=0; while(1) { switch(cnt++) { case 0: decodenum(ad_action(0)*addo+zero,0);break; case

2022-03-10 18:07:41 1392

原创 基于单片机24小时倒计时protues仿真-基于单片机T6963C液晶显示的模拟射击训练游戏-基于Protues数电汽车尾灯控制电路系统-基于Protues数电抢答器电路系统设计【毕设分享】

1255基于单片机24小时倒计时protues仿真 毕设课设资料倒计时数码管显示,最小1秒,最大24小时;计时结束蜂鸣器报警响10次;按K1键第1次,秒位闪烁,按加减键设置秒钟,加减键短按加减1,长按连加连减按K1键第2次,分位闪烁,按加减键设置分钟,加减键短按加减1,长按连加连减按K1键第3次,时位闪烁,按加减键设置小时,加减键短按加减1,长按连加连减按K1键第4次,退出设置状态按K4键启动计时(非设置状态下时分秒其中之一不为0才能启动计时)计时的时候,按K4键1次可以暂停计时并关闭输出,

2022-03-10 18:00:40 5414

原创 【微机原理课设protues-汇编】基于8086四种波形发生器仿真设计-基于8086数码管矩阵键盘控制设计-基于8086微机原理直流电机控制系统-基于单片机PWM直流电机控制系统设计汇编

1207基于8086四种波形发生器仿真设计-微机原理汇编仿真资料out dx,al in ax,0000H cmp al,11111111b ;默认波形,正弦波 jz sinewave cmp al,11111110b jz sinewave cmp al,11111101b jz sawtoothwave cmp al,11111011b jz triangularwave cmp al,1

2022-03-10 10:05:58 4209 3

原创 【分享】基于单片机按键和串口控制步进电机正反转调速-基于单片机8255电子码表秒表设计-基于单片机8x8点阵模拟电梯系统设计-基于单片机RS485通信PC和单片机通信仿真-基于单片机弱交流电压测试仪

1290基于单片机按键和串口控制步进电机正反转调速-设计资料包含程序和仿真功能:按键或串口发送字符指令,控制步进电机正转、反转、停止、加速、减速动作仿真过程可直接通过按下电脑键盘控制#include<reg52.h>#define uint unsigned int#define uchar unsigned char//------8拍-----uchar code zz[]={0x01,0x03,0x02,0x06,0x04,0x0c,0x08,0x09};

2022-03-10 09:24:11 975

原创 基于单片机PID电机调速控制设计-基于单片机LED条屏显示温度和时间设计-基于单片机PM2.5雾霾检测处理控制设计-基于单片机SHT11温湿度采集报警系统设计-基于单片机8155秒表计时器系统设计

1273基于单片机PID电机调速控制设计-毕设课设资料/******************************************************************************************文件名:pid.c*文件描述:PID控制电机转速*****************************************************************************************/#include <

2022-03-09 19:50:48 414

原创 基于单片机24路PT100热敏温度巡检仪设计-基于单片机ACS712直流电流测量检测设计-基于单片机500v电压表系统设计-基于单片机俄罗斯方块游戏系统设计-基于单片机8155语音播放波形显示设计

1254基于单片机24路PT100热敏温度巡检仪设计-毕设课设资料24路温度巡检仪:(测温范围-50~+80°C)功能概述:依次循环检测并显示24路温度,查看各路温度,设置报警温度操作规则:开机自动从1-24路循环检测温度。按按键3,进入手动查看功能。每按一次按键1立即增加显示下一路温度按按键2,立即减少显示上一路温度,若停止按键,将一直显示当前路号的温度,再按按键3,再次进入自动循环显示设置报警温度:按按键4,进入报警温度设置。进入温度设置后,首先按按键1,设置报警温度的正负,再按按键4

2022-03-09 19:38:52 4085

原创 【毕设】基于单片机16抢答器控制系统设计-基于单片机16层楼梯电梯仿真设计-基于单片机16X64点阵电子时钟仿真设计-基于单片机16x16点阵模拟电梯系统设计-基于单片机K型热电偶温度控制电机系统设计

1252基于单片机16抢答器控制系统设计-毕设课设资料随着科学技术的发展和普及,各种各样的竞赛越来越多,其中抢答器的作用也越来越重要。本文设计出以AT89C51单片机为核心的多路抢答器,采用了数字显示器直接指示,自动锁存显示结果,并自动复位的设计思想,它能根据不同的抢答输入信号,经过单片机的控制处理并产生不同的与输入信号相对应的输出信号,最后通过LED数码管显示相应的路数,即使两组的抢答时间相差几微秒,也可分辨出是哪组优先按下的按键,充分利用了单片机系统结构简单、功能强大、可靠性好、实用性强的特点。

2022-03-09 19:28:57 543 2

原创 基于8086简易电子琴系统仿真设计-基于8086红外自动门控制系统设计-基于单片机8x8矩阵键盘两机串口通信-基于单片机8层电梯控制系统仿真设计-基于单片机ADC0809和DAC0832模数和数模设计

1189基于8086简易电子琴系统仿真设计-设计资料编写一实现电子琴的程序,并实现若干扩展功能。基本功能:用键盘输入对应的七个音阶,通过实验箱的喇叭发出声音,并通过七段数码管显示输入音阶;扩展功能:1、在程序中可预设多首曲目,按一键可以进入预设子菜单,对要播放的曲目进行选择,并实现播放该曲目。2、程序运行时有友好的用户介面。3. 当弹奏不同音阶时,双色点阵LED显示器显示不同的图案CODE SEGMENTASSUME CS:CODEA8255 equ 0DC00h .

2022-03-09 17:21:01 2610 2

原创 【微机课设分享】基于8086和LCD12864液晶显示仿真设计-基于8086直流电机调速控制系统设计-基于8086计数器仿真系统设计-基于8086计算器系统仿真设计-基于8086家具房间灯光控制设计

1184基于8086和LCD12864液晶显示仿真设计-设计全套资料本设计完整的实现基于8086红外报警仿真设计,protues仿真中,红外传感用按键模拟(红外原理也是通过高低电平来检测感知的),当按键按下则模拟红外检测到物体发出报警,如果长按则表示红外一直被障碍物触发,会一直声光报警。IOS2 EQU 020HIOS3 EQU 030HIOS4 EQU 040H ;8253端口地址A_PORT EQU 10H ;8255端口地址B_PORT EQU 12HC_PORT EQ

2022-03-09 16:48:23 1363 1

原创 【微机原理课设分享】基于8086步进电机转动控制设计-基于8086查询输出计数器仿真设计-基于8086车库电动卷闸门系统设计-基于8086的16x64点阵汉字显示-基于808616键电子琴高低八音阶

1161基于8086查询输出计数器仿真设计-资料下载如图是一个统计按键次数的电路原理图,其中COUNT是计数按键,CLEAR是清零按键,7SEG是共阳极七段数据管,接口电路的端口地址是0200H。试用查询方式编写显示按键次数的程序,要求显示一位十六进数。即从0开始计数,每按一次COUNT按键,显示次数加1,当计数到15次(显示F)后,再次按键时从0开始计数,无论何时按下CLEAR键,计数值都会清零。(要求EXE源程序格式,考虑按键去抖动和按键释放,并写出七段数码管译码段码值。已知延时子程序是dela

2022-03-09 15:07:53 3176

原创 基于8086的16位键盘操作系统仿真设计-基于8086LCD1602显示仿真设计-基于8086的LED中文显示屏显示设计-基于8086方波锯齿波三角波发生器-基于8086的LED点阵汉字流水显示设计

1180基于8086方波锯齿波三角波发生器仿真资料1.基于Proteus仿真平台,用汇编语言和DAC0832实现数模转换,实现了直流输出、方波输出、锯齿波输出和三角波输出。2. 使用MASM32进行编译, 已经做好makefile文件,并有详细说明。3.内附详细8086仿真设计图、汇编语言源码、目标执行代码码、makefile文件。 mov cx,x dly: nop loop dly endm ;---模式设置----..

2022-03-09 14:13:42 1513

原创 基于8086步进电机定时启动设计-基于8086八路智能抢答器系统控制设计-基于8086八路PT100热敏电阻温度采集-基于8086 8253定时计数器方波发生器仿真-基于8086的1602动态显示仿真

1157基于8086八路智能抢答器系统控制设计-微机原理资料 以8086为核心,设计一个8位竞赛抢答器:同时供8名选手或8个代表队比赛,分别用8个按钮S0~S7表示。 设置一个系统清除和抢答控制开关S,开关由主持人控制。 抢答器具有锁存与显示功能。即选手按按钮,锁存相应的编号,并在优先抢答选手的编号一直保持到主持人将系统清除为止。;$CS$ $A1$ $A0$; 1 1 1 控制端口; 1 0 0 数据A; 1 0 1 数...

2022-03-09 14:07:24 1394 1

原创 基于单片机超声波视力保护系统设计-基于51单片机8音键电子琴仿真设计-基于8086八路模拟信号采集均值显示系统设计-基于8086八路数据电压温度采集系统-基于8086波形发生器仿真设计【毕设课设分享】

539基于单片机超声波视力保护系统设计-设计资料硬件构成:单片机+最小系统+LCD1602液晶显示模块+超声波模块+DS18B20温度采集模块+光线检测模块+ADC0832模块+蜂鸣器模块+LED指示灯模块+按键模块本设计基于STC89C51/521.LCD1602液晶显示测量的距离(头部与探头)、温度和看书时间(默认开机是45分钟);2.带有温度补偿功能(DS18B20传感器);3.采用超声波模块测距,测量范围0.02m~4m,精度为0.01m;4.距离小于30cm,蜂鸣器和LED灯报警

2022-03-08 19:16:41 1234

原创 基于单片机的智能电子密码锁系统设计-基于51单片机酒精浓度检测仪设计-基于单片机的水缸加热温控控制系统设计-基于单片机蓝牙技术的温室监测系统设计-基于单片机智能PWM调光灯系统设计【毕设方案】

600基于单片机的智能电子密码锁系统设计-电路程序资料本设计采用的是AT89C51单片机作为控制核心,控制外围电路工作的装置。在本次基于单片机的电子密码锁设计中,将采用AT89C51单片机作为控制核心,配合相应的电路和软件程序,实现密码的输入和修改、信息的显示、键盘的锁定、系统报警、开锁和闭锁等功能。在实现的过程中,通过判断使用者输入的密码正确还是不正确来执行开锁或报警功能,同时,利用存储器AT24C02将密码保存起来,以便来对密码的进行修改。初始密码为199010.uchar code ta

2022-03-08 18:29:38 1126

原创 【分享】基于单片机家庭防盗报警系统设计-基于51单片机的智能照明控制系统-基于单片机电压控制灯光亮度报警系统-基于51单片机的公交车安全智能检测系统-基于51单片机的金属探测仪控制设计-毕设课设资料

805基于单片机家庭防盗报警系统设计-毕设课设安全、隐蔽家庭防盗报警器设计,该设计包括硬件和软件设计两个部分。模块化分为数据采集、按键控制、报警等模块。电路结构可划分为:热释电红外传感器、蜂鸣器、单片机控制电路、LED指示灯组成。处理器采用51系列单片机STC89C52。整个系统是在系统软件控制下工作的。设置在监测点上的红外探头将人体辐射的红外光谱变换成电信号,送出TTL 电平至STC89C52单片机。在单片机内,经软件查询、识别判决等环节实时发出入侵报警状态控制信号。驱动蜂鸣器及报警指示灯报警。.

2022-03-08 14:48:55 4286

原创 基于单片机智能浇花控制系统设计-基于单片机的液位自动控制监测系统设计-基于51单片机GSM的手机系统模拟设计-基于单片机超声波液位测量仪系统设计-基于单片机电子琴音乐盒电路仿真系统-protues毕设

642基于单片机智能浇花控制系统设计[摘要]本设计主要的内容是土壤湿度检测电路的设计与制作。该电路的工作原理是由STC89C52单片机和ADC0832组成系统的核心部分,湿度传感器将采集到的数据直接传送到ADC0832的IN端作为输入的模拟信号。选用湿度传感器和AD转换,电路内部包含有湿度采集、AD转换、单片机译码显示等功能。单片机需要采集数据时,发出指令启动A/D转换器工作,ADC0832根据送来的地址信号选通IN1通道,然后对输入的模拟信号进行转换,转换结束时,EOC输出高电平,通知单片机可以读取转

2022-03-08 14:25:18 3493

原创 基于单片机HX711电子秤自动计价系统设计-基于单片机GPRS远程测控系统设计-818基于单片机循迹避障无线遥控蓝牙智能小车-基于单片机24s倒计时篮球积分器系统-基于单片机智能洗衣机控制系统

816基于单片机HX711电子秤自动计价系统设计本设计使用高精度电阻应变式压力传感器,用A/D转换器HX711(HX711数据手册)对传感器信号进行调理转换。AT89s52(AT89s52数据手册)单片机做主控芯片,实现称重、计价等功能。用4*4矩阵键盘进行控制,键盘容量大,操作便捷。用LCD1602显示称重重量、总价等信息。当重量超过300g则通过蜂鸣器和LED灯实现超量程报警功能。该电子秤具有称重、键盘输入、自动计价、显示、超重报警功能。该电路设计简单易行,并且精确度高。可以用作期末或毕业课程设

2022-03-08 14:16:29 1035

原创 【电子设计分享】基于单片机GSM大棚环境智能监控系统-基于单片机的警示灯爆闪灯设计-基于单片机太阳能手机智能充电器设计-基于单片机智能无线病床呼叫系统设计-基于单片机智能灯光光控照明系统设计

806基于单片机GSM大棚环境智能监控系统(毕业设计)大棚环境温湿度光照采集控制系统功能概述:该环境监测系统采用STC89C52单片机来作为控制核心,实现了对温、湿度的检测、光照强度检测,LCD实时显示电路和手机实时监测。 配置新式的微型低功耗传感器,温湿度传感器为DHT11,光敏电阻和AD0809芯片,GTM900-B无线通讯模块,实现了环境温度,湿度,光照强度三个参数的采集,存储,显示等功能。 能实时的发送相应的数据给手机,让我们能方便快捷的了解大棚内的情况,并作出相应的措施。

2022-03-08 09:49:27 1153

原创 【设计方案分享】基于单片机温度监测监控报警系统设计-基于单片机钞票自动智能识别系统设计-基于单片机乒乓球游戏机控制系统设计-基于单片机温度监测监控报警系统设计-基于单片机矩阵键盘的电子密码锁设计

820基于单片机温度监测监控报警系统设计-设计资料温度监测器功能描述:1.主控芯片用的是51单片机(STC89C51)。2.使用温度传感器DS18b20采集温度。3.用1602液晶显示显示温度。4.当温度达到设置的上下限时蜂鸣器报警。5.温度的上下限可以用按键进行设置。6.本资料有完整的开题报告任务书论文等。821基于单片机钞票自动智能识别系统设计-设计资料本设计是以STC89C52单片机为控制核心,由一颜色传感器TCS3200结合1602显示器。检测钞.

2022-03-08 09:29:51 390

原创 【电路方案】基于单片机智能市电温度控制系统设计-基于单片机RGB颜色智能识别系统设计-基于单片机四路红外遥控开关电路设计-基于单片机自行车自动防盗报警系统设计-基于单片机智能无线病床呼叫系统设计

822基于单片机智能无线病床呼叫系统设计-设计资料下载硬件构成:单片机+最小系统+LCD1602液晶显示模块+无线收发模块+蜂鸣器模块+LED指示灯模块+按键模块本设计基于STC89C51/52(与AT89S51/52、AT89C51/52通用,可任选)单片机。默认是STC,如需指定单片机型号请备注!1.采用LCD1602液晶显示和无线遥控,能够实现病人和医护人员之间信息的传递;2.当病人及家属有需要时,按下按键,此时值班室的显示屏可显示此患者的床位号,多人使用时均可显示,医护人员按下“响应”.

2022-03-07 20:35:24 925

原创 基于51单片机超声波三方向测距设计-基于51单片机超声波水位液位控制-基于51单片机仓库环境监控系统仿真设计-基于单片机公交安全预警设计-基于单片机汽车环境监测系统设计-基于单片机红外音频温度传输系统

1140基于51单片机超声波三方向测距系统设计-毕设课设全套资料1、采用三个超声波测距,速度快,精度高,精度1毫米。2、按键设置设置报警距离,上限和下限。3、LCD1602液晶显示。4、结构简洁,稳固,高效。1141基于51单片机超声波水位液位控制系统LCD1602资料-毕设课设资料1、采用超声波水位液位,速度快,精度高,精度1毫米。2、按键设置设置报警高度,上限和下限。3、LCD1602液晶显示。1139基于51单片机仓库环境监控系统仿真设计-毕设课设

2022-03-07 20:08:20 842

原创 基于单片机RGB颜色智能识别系统设计-24小时计时纯数字电路显示控制设计-基于51单片机八音电子琴仿真设计毕业-基于51单片机的智能抽奖设计-基于51单片机的停车场车位管理系统设计

1145基于51单片机的停车场车位管理系统设计-毕设课设资料摘要:伴随着科技的飞速发展,交通工具的越来越普及。汽车作为人类社会中最主要的交通工具之一,起着重大作用。随着人们生活水平的提高,汽车的数量也与日俱增,于是停车正在成为世界性的问题。以前落后的人力停车管理即将被高科技化的自动停车管理系统所取代,高度自动化的停车场管理系统节省了大量时间和人力物资消耗,大大提高了效率。 本论文介绍了利用单片机控制的一种停车场管理系统。它能在任意时间内,根据有效的停车空间,计算能够停泊的车辆数量,并且使用自...

2022-03-07 19:04:09 1285

原创 基于STM32居家加湿器控制仿真设计-基于STM32热释人体感应智能门禁报警设计-基于STM32无刷电机BLDC速度控制器设计-基于STM32智能路灯灯光自动控制设计-基于单片机PID控制算法开关电源

1605基于STM32无刷电机BLDC速度控制器系统设计-毕设课设资料可调转速通过可调电阻和STM32的ADC功能,实现500-4596范围的速度调节。 通过定时器1的PWM互补输出六路PWM控制电机的转动,驱动器使用L293D和IRF540 MOS管。 换向使用的是外部中断,测速使用的是定时器2的三鹿输入捕获,这里有一个坑,proteus中三路输入捕获无法同时工作,本来打算三路都做测速逻辑,但是速度变化很大,所以最后只使用了一路作为测速通道。 正反转使用的是外部中断。 显示转速和目标转速使用.

2022-03-07 18:01:37 1853

原创 基于数字电路交通灯信号灯控制系统设计-基于单片机病房温度监测与呼叫系统设计-基于STM32的无线蓝牙心电监护仪系统设计-基于STM32的智能蓝牙温控风扇控制设计-基于STM32的智能温室控制系统设计

1617基于数字电路交通灯信号灯控制系统设计(仿真电路,论文报告)摘 要:交通灯控制系统在城市交通控制中发挥着重要的作用,本次课程设计就是以城市交通灯控制系统为背景的,主要通过运用学过的数字电路设计的知识完成基础的交通灯基本功能,包括时间的定时和交通灯各种状态之间的转换,并且要实现通行和禁行的时间的设置,本次设计采用数字电路仿真软件Proteus对所设计的电路进行仿真和测试,并通过观察仿真结果看设计是否符合要求。关键词:交通灯,数字电路仿真,定时器、置数存储电路、控制置数电路、逻辑表达式电路..

2022-03-07 17:55:55 2035

原创 【电路】基于单片机智能睡眠枕系统设计-基于单片机音乐喷泉制作设计-基于单片机智能温控风扇调速系统设计-基于单片机智能自动循迹小车控制系统设计-基于数字电路的4人投票系统设计(仿真,报告)毕设课设资料

1620基于单片机智能睡眠枕系统设计-文档+PPT+PCB图+原理图+源码智能睡眠枕主要由lcd屏幕、蓝牙、压力传感器、蜂鸣器、单片机等等组成,用户可通过四个独立按键进行操控(四个按键从左至右为1、2、3、4)。其程序及操控界面简介如下: 默认界面:显示当前日期及时间,按1进入闹钟设置界面,2进入睡眠记录查看界面,3进入蓝牙发送界面,4进入睡眠界面。 闹钟设置界面:2、3按键分别控制数字加一减一,1控制闹钟时间位数设置,4退出,设置结束后按1自动进入睡眠模式。 睡眠记录界面:.

2022-03-07 12:54:22 971

原创 基于单片机双路温度检测报警系统设计-基于单片机声光控智能开关控制灯设计-基于单片机热电偶智能体温检测设计-基于单片机小型家用燃气锅炉控制系统设计-基于单片机一氧化碳有毒气体采集报警系统设计

1628基于单片机双路温度检测报警系统设计(串口上位机控制)基于pcf8591 tlc2543的双路温度检测报警系统 带串口上位机控制带仿真 源程序温度传感器是 LM35两路测温通道所用的ad芯片分别是pcf8591 和 tlc2543仿真开始运行后串口助手发a 启动pcf那路的测量 并显示在6位一体数码管串口助手发b 启动tlc那路的测量 并显示在6位一体数码管当tlc那路温度超过100摄氏度 蜂鸣器报警 并且上位机收到error!字符串pcf那路测温范围0-150摄氏度tlc那路...

2022-03-07 09:58:25 1701

原创 基于单片机的便携式瓦斯检测仪系统设计-基于单片机超声波停车位自动检测系统-基于单片机产品数量自动计量系统设计-基于单片机激光电子琴设计-基于单片机锂电池电量显示设计-基于单片机温湿度农田自动灌溉设计

1638基于单片机的便携式瓦斯检测仪系统设计基于单片机的便携式瓦斯检测仪系统设计 实现功能:瓦斯气体检测,并可以通过按键设计上下限报警,LCD实时显示瓦斯浓度值。包含的电路有:瓦斯检测电路,按键电路,lcd显示电路,报警电路,单片机主控电路。#include<reg52.h>#include "LCD1602.h"#include "da1302.h"unsigned int i;unsigned int j;unsigned char V_getdata1; //.

2022-03-04 16:45:36 1282 2

原创 基于单片机病房呼叫系统数码管显示房号设计-基于单片机工业生产现场的光照强度控制设计-基于单片机多功能智能台灯设计-基于单片机二维码LCD显示设计-基于单片机多功能时钟闹钟万年历控制系统设计【毕设分享】

1641基于单片机病房呼叫系统数码管显示房号设计设计思路:此设计实现的功能通过按键模拟房号,当按键按下时会将房号编号发送到数目管上进行显示,并通过声光进行提示。包含的电路有:数码管显示电路、按键电路、单片机电路、蜂鸣器电路、lec指示电路等。#include <reg51.h>#define uint unsigned int#define uchr unsigned charsbit led0=P2^2;sbit buzzer=P2^3;sbit dula=P2^6;

2022-03-04 16:23:21 1168

原创 【转发分享】基于51单片机摩尔斯电码收发控制设计-基于51单片机智能恒温控制系统-基于51单片机霍尔测速直流电机设计-51单片机和MAX1898的智能手机充电器设计-基于51单片机的智能指纹考勤系统

1659基于51单片机摩尔斯电码收发控制系统设计(仿真电路,程序)设计思路: 1、开机后,出现问候语。即进入信息录入界面。这时可按点划键进行输入,这时每输入一点一划,都会出现候选字,如是你想要的,可稍停,即可自动上屏。若不是要连续输入,直到找到。字母和数字可按摩斯码输入,空格输入点点划划四笔。注意,点划输入间隔时间不要过长,这个要学习适应。 2、若输入出错,可按菜单键一下,出现DEL,按点键可删除一字,按划键全部删除。 3、在录入界面下,连按两下菜单键,出现发送SEND菜单,...

2022-03-04 16:14:09 1649

原创 【毕设转发分享】基于STM32景区人流检测设计-基于STM32的示波器信号发生器设计-基于STM32的多功能智能密码锁控制设计-STM32闭环步进电机控制系统设计-基于STM32的智能蓝牙小车控制设计

1651基于STM32景区人流检测控制系统设计(仿真,程序)思路:本系统基于stm32f10x为核心,数码管显示计数值和目标数量,在软件中设置目标人数量可设置的最大值。通过矩阵按键设置目标数量。按键计数设置传送带计数值,按键按下计数值数量加一,计数值与目标数量比较数量相等。计数值数量重置蜂鸣器报警,计数值和目标值不相等继续计数。#include "stm32f10x.h"void GPIO_Config(void);void delay(uint32_t d){ uint32_t i..

2022-03-04 11:36:41 1367

原创 【分享】基于STM32温室智能监测控制系统设计/STM32温湿度传感器采集报警系统/基于STM32室内空气净化监测系统设计/51单片机的传送带产品计数器/STM32两轮自平衡小车系统设计 毕设课设

1647基于STM32温室智能监测控制系统设计(温控补光功能)仿真,程序设计功能包含温湿度检测、光照强度检测,可以通过温湿度和光照来控制灯和电机风扇运转。包含的电路有:温湿度检测电路,电机电路,液晶显示电路、光照强度检测电路、按键电路、LED指示电路、蜂鸣器电路等。#include "stm32f10x.h"#include "LQ12864.h"#include "adc.h"#include "dth11.h"#define PUSH_UP 1#define PUSH_DO...

2022-03-04 09:17:54 3339

原创 基于单片机八位智能抢答器设计系统-基于单片机LM35温度采集控制-基于单片机HX711电子秤称重-基于单片机16位智能抢答器设计-基于STM32自动售货机控制系统设计-STM32自动售货机控制系统

基于单片机八位智能抢答器设计系统报告、仿真、C程序随着经济和文化事业发展的需要,在很多公开竞争的场合要求有公共的竞争裁决,诸如证券、股票交易及各种竞赛抢答,因此抢答器应运而生。抢答器一般是由很多电路组成的,线路复杂,可靠性不高,功能也相对比较简单,特别是当抢答要求的路数较多的情况下,实现起来会很困难。因此,设计一个易于使用和区分的抢答器成了非常迫切的任务。单片机以其功耗小、智能化著称,所以我们设计了以AT89C51单片机为核心的新型智能抢答器。该抢答器选用当前的主流芯片AT89C51作为核心,通过外围.

2022-03-03 20:10:59 1625

原创 基于51单片机的自动浇花系统设计/基于51单片机的智能抽奖系统控制设计/基于51单片机的数字时钟与日历显示控制设计 毕业设计

1147基于51单片机的自动浇花系统设计设计思路:通湿度传感器实时监测湿度,通过LCD显示出实时的湿度采集值,可以通过按键设定目标界限,当达到这个界限值时,浇花系统的电机装置运行。电路包含:LCD显示电路、传感器电路、晶振电路、复位电路、按键电路、LCD显示电路等。#include<reg51.h>#define uchar unsigned char#define uint unsigned int sbit ad_cs=P1^3; //ADC0832的控制位sb

2022-03-03 17:45:34 862

空空如也

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除